汽车尾灯控制电路 VHDL

汽车尾灯控制电路 VHDL

ID:38678632

大小:97.50 KB

页数:7页

时间:2019-06-17

汽车尾灯控制电路 VHDL_第1页
汽车尾灯控制电路 VHDL_第2页
汽车尾灯控制电路 VHDL_第3页
汽车尾灯控制电路 VHDL_第4页
汽车尾灯控制电路 VHDL_第5页
资源描述:

《汽车尾灯控制电路 VHDL》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、可编程逻辑器件设计大作业题目汽车尾灯控制电路学院班级姓名学号2013年12月20日题目:汽车尾灯控制电路一、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1)汽车正常运行时指示灯全灭。2)右转弯时,右侧3个指示灯按右循印顺序点亮。3)左转弯时,左侧3个指示灯按左循别顺序点亮。4)临时刹车时,所有指示灯随着CP时钟脉冲同步闪烁。二、总体设计:1、总体结构图:开关控制电路译码电路74138显示驱动电路记数电路74161R1R2R3L1L2L3脉冲产生电路5552、顶层原理图:三、单元

2、模块设计1、各模块功能LEFTLPRIGHTRPBRAKELRNIGHTBRAKE_LEDNIGHT_LEDCTRL汽车尾灯主控模块工作框图如上图CLKLPLEDLLRLEDBBRRAKELEDNNIGHTLC左边灯控制模块的工作框图如上图CLKRPLEDRLRLEDBBRRAKELEDNNIGHTRC右边灯控制模块的工作框图如上图2、VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;useieee.STD_LOGIC_UNSIGNED.ALL;ENTIT

3、YweidengIS--实体port(clk,tright,tleft:INSTD_LOGIC;--端口说明rightdeng:OUTSTD_LOGIC_VECTOR(2DOWNTO0);leftdeng:OUTSTD_LOGIC_VECTOR(2DOWNTO0));ENDENTITYweideng;ARCHITECTUREqicheOFweidengIS--结构体部分SIGNALleftd:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALrightd:STD_LOGIC_VEC

4、TOR(1DOWNTO0);BEGINcontrol:PROCESS(clk,tleft,tright)BEGINIFclk'EVENTANDclk='1'THENIFrightd="01"THEN--右信号rightd<="11";ELSErightd<=(rightd-1);ENDIF;IFleftd="01"THEN--左信号leftd<="11";ELSEleftd<=(leftd-1);ENDIF;ENDIF;ENDPROCESScontrol;proout:PROCESS(clk,tr

5、ight,tleft,rightd,leftd)BEGINIFclk'EVENTANDclk='1'THENIFtright='1'THEN--右信号有效时leftdeng<="000";CASErightdIS--右边灯的亮灭状态WHEN"01"=>rightdeng<="001";WHEN"10"=>rightdeng<="010";WHEN"11"=>rightdeng<="100";WHENOTHERS=>rightdeng<="000";ENDCASE;ELSIFtright='0'TH

6、EN--右信号无效rightdeng<="000";--右边3个灯全灭ENDIF;IFtleft='1'THEN--左信号有效rightdeng<="000";CASEleftdIS--左边灯的亮灭状态WHEN"01"=>leftdeng<="100";WHEN"10"=>leftdeng<="010";WHEN"11"=>leftdeng<="001";WHENOTHERS=>leftdeng<="000";ENDCASE;ELSIFtleft='0'THEN--左信号无效leftdeng<="

7、000";--左边3个灯全灭ENDIF;ENDIF;IFtleft='1'ANDtright='1'THEN--左右信号都有效时6个灯全亮leftdeng<="111";rightdeng<="111";ELSIFtright='0'ANDtleft='0'THEN--左右信号都无效时6个灯全灭leftdeng<="000";rightdeng<="000";ENDIF;ENDPROCESSproout;ENDqiche;3、用QuartusⅡ软件进行调试:首先是在桌面打开QuartusⅡ软件后选

8、择File>>NewProjectWizard进入新建工程对话框>>单击Next进入新建工程路径、名称、顶层实体指定对话框(选择好保存路径、输入工程名为weideng),由于只是进行功能和时序仿真,所以直接单击Finish即可。其次是建立VHDL程序文件(选择File>>New打开新建文件对话框>>选择VHDLFile即可)。最后是输入汽车尾灯控制电路程序代码>>保存>>对该工程文件进行全程编译处理。如果在编译的过程中发现有错误,则找出并更正错误,直至编译成功为止。4、波形仿真:先

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。