Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中

Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中

ID:39077402

大小:354.58 KB

页数:20页

时间:2019-06-24

Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中_第1页
Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中_第2页
Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中_第3页
Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中_第4页
Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中_第5页
资源描述:

《Understanding Stratix IIIProgrammable IO Delay Settingsin the Quartus II Software了解Stratix IIIProgrammable I_O延迟设置 在Quartus II软件中》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、UnderstandingStratixIIIProgrammableI/ODelaySettingsintheQuartusIISoftwareNovember2007,ver.1.0ApplicationNote474IntroductionAltera®Stratix®IIIseriesdeviceshaveaveryversatileI/Oarchitecture.IncludedinthevariousfeaturesoftheStratixIIII/Oareoptionsforskewmanagementinyoursystems.The

2、StratixIIII/Ohasvariousphysicalprogrammabledelayelementsinitsarchitecture.Usingtheoptiontoprogramthesedelayelementswithdifferentsettingsprovidesamethodforskewmanagement.Skewmanagementisanadvancedtechniquethatcanbeusedinsomecasestomitigatetimingproblemsinyoursystem.Totakeadvanta

3、geofthistechnique,youmustunderstandhowprogrammabledelayelementsinStratixIIII/OdevicesarepresentedandassignedintheQuartus®IIsoftware.Thisdocumentdescribestheprogrammabledelayelementsettings,themethodstoconfigurethem,andsomeapplicationsinwhichtheprogrammabledelayelementsarereprog

4、rammedtoovercomeatimingproblem.StratixIIII/Oprogrammabledelayelementsareconstructedandstaggeredtoprovideyouwithversatilesolutionsforcoarseandfinetuningofdelays.Whenyoutunedelays,youaremanagingtheskewbetweenvarioussignalsinyoursystem.Circumstancesinwhichyoucanuseskewmanagementwi

5、thprogrammabledelayelementsinclude:■Toskewordeskewdatasignalstoorfromabusofpinstocompensateforprocessvariationsinthedie,package,circuitboard,andexternalinterfacingdevices.■Toskewsimultaneousswitchingoutput(SSO)signalstomitigateanysimultaneousswitchingnoise(SSN)problems■Toskewor

6、deskewdatasignalsonDDRinterfacestomitigateanyDDRreadandwriteproblems■Toskewordeskewinputdatasignalstothedevicetomeettimingperformance■Toincreaseordecreasesetuptimes(tSU)withinthecore■Toincreaseordecreaseclock-to-output(tCO)timeswithinthecoretimingThisdocumentincludesthefollowin

7、gtopics:■“DelayElementsOverview”onpage2■“SkewManagementintheDesignCycle”onpage4■“DelayElementsReport”onpage7■“ChangingtheProgrammableDelaySettings”onpage7■“Example:ManagingSkewatOutputs”onpage15AlteraCorporation1AN-474-1.0PreliminaryUnderstandingStratixIIIProgrammableI/ODelaySe

8、ttingsintheQuartusIISoftwareDelayElementsOneoftheprima

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。