quartusii操作基础x

quartusii操作基础x

ID:39981453

大小:1.34 MB

页数:40页

时间:2019-07-16

quartusii操作基础x_第1页
quartusii操作基础x_第2页
quartusii操作基础x_第3页
quartusii操作基础x_第4页
quartusii操作基础x_第5页
资源描述:

《quartusii操作基础x》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、AlteraQuartusⅡ软件操作基础马慧麟中央民族大学信息工程学院QuartusⅡ软件的简介和工程的基本设计流程;通过简单的实例演示各流程以及常用工具的使用方法,熟悉QuartusⅡ软件的用户界面、常用工具和设计流程;主要内容QUARTUSII是美国Altera公司提供的可用于可编程片上系统(SOPC)开发的综合开发环境,是进行SOPC设计的基础.集成环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真.一、QUARTUSII概述二、QUARTUSII的基本操作步骤1.由于QuartusII只对项目进行编

2、译,模拟,编程…而是不对单独的文件进行,所以要进行设计第一步就是建工程。对于一个设计,创建一个单独的目录,该目录的路径从根目录开始都必须是英文名称,任何一级目录都不能出现中文字样,且不能包含空格,否则在读文件时会发生错误;?要注意的地方(1)输入设计文件有以下几种2.输入设计文件1)新建工程之后,便可以进行电路系统设计文件的输入。选择file菜单中的New命令,弹出如图所示的新建设计文件类型选择窗口。产生一个新的图表模块/原理图文件菜单:File>New>Block/Schematicdocument(原理图输入法为例子讲述)(2)、输入设计文件-原理图输入法

3、2)选择New对话框中的DeviceDesignfiles页下的BlockDiagram/SchematicFile,点击OK,打开如图所示的图形编辑器对话框,进行设计文件输入。3)在图形编辑窗口中的任何一个位置双击鼠标,或点击图中的“符号工具”按钮,或选择菜单Edit下的InsertSymbol命令,弹出如右图所示的元件选择窗口Symbol对话框。4)用鼠标点击单元库前面的加号(+),库中的元件符号以列表的方式显示出来,选择所需要的元件符号,该符号显示在Symbol对话框的右边,点击OK按钮,添加相应元件符号在图像编辑工作区中,连接原理图。十六进制同步计数器

4、的原理图如下:5)利用命名相同的端口建立总线连接(多条相同功能的导线用一条总线连接)例如:添加74138译码器的输入引脚和输出引脚的连线分别为正交总线(Orthogonalbustool)粗线,命名分别为i[2..0]和o[7..0],代表3个输入和8个输出。在74138的3个数据输入端C、B、A分别添加正交节点细线(Orthogonalnodetool),鼠标分别右击这些细线,选择properties,端口命名分别为i[0],i1],i[2],输出亦此,分别命名为o[1]~o[7]。此时命名相同的端口即建立了连接,这样避免因电路复杂或元件的拖动造成连线的交叉

5、,使电路更加清晰。这种方法设计的电路进行功能仿真时可以直接输入和输出二进制或十进制数值表示的数据,使仿真结果也更清晰明了。功能仿真,插入输入和输出节点时,若使用二进制数输入和输出时,Radix选Binary若使用十进制数输入和输出时,Radix选UnsignedDecimal例如:74138译码器的功能仿真分别选中输入波形的每个周期,输入数值000~111(value>ArbitraryValue>Binary或UnsignedDecimal),仿真结果也是数值表示,可以点击“+”展开查看波形3输入与门的功能仿真3输入与门的功能仿真60进制计数器的仿真波形3、

6、原理图输入法-优缺点:优点:1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在QuartusⅡ平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。2)它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减轻了设计者的劳动强度。缺点:1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度

7、以及不同风格的综合优化3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。QuartusⅡ编译器的主要任务是对设计项目进行检查并完成逻辑综合,同时将项目最终设计结果生成器件的下载文件。编译开始前,可以先对工程的参数进行设置。QuartusⅡ软件中的编译类型有全编译和分步编译两种。选择QuartusⅡ主窗口Process菜单下StartCompilation命令,或者在主窗口的工具栏上直接点击图标可以进行全编译全编译的过程包括分析与综合(Analysis&Synthesis)、适配(Fitter

8、)、编程(Assembler)、时序分

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。