《eda设计流程教学》ppt课件

《eda设计流程教学》ppt课件

ID:40008526

大小:2.43 MB

页数:51页

时间:2019-07-17

《eda设计流程教学》ppt课件_第1页
《eda设计流程教学》ppt课件_第2页
《eda设计流程教学》ppt课件_第3页
《eda设计流程教学》ppt课件_第4页
《eda设计流程教学》ppt课件_第5页
资源描述:

《《eda设计流程教学》ppt课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA工具的简介工作站:Synopsys、CadenceMentorGraphicsPC机:Active-HDL、ModesimSynplify、FPGAEXPRESSXilinx:Foundation、ISEAltera:Max+plusII、QuartusII使用Modelsim进行仿真ModelSim:HDL语言仿真器是工业界最优秀的语言仿真器之一,提供友好的调试环境,  适合作FPGA、ASIC设计的RTL级和门级电路仿真。支持PC和UNIX、LINUX平台,是唯一的单一内核支持VHDL和Ve

2、rilog混合仿真的仿真器。支持众多的ASIC和FPGA厂家库采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真,编译仿真速度业界最快,编译的代码与平台无关,便于保护IP核。具有个性化的图形界面和用户接口。全面支持VHDL和Verilog语言的IEEE标准,以及IEEEVITAL1076.4-95标准,支持C语言功能调用,C的模型,基于SWIFT的SmartModel逻辑模型和硬件模型。建立一个Project添加我们写好的文件添加sim.v和sim.v的测试文件编译文件,出现错误,双击红色部分红

3、字部分为错误信息,双击红字,             我们在一个新的窗口中看到错误的定位错误信息assginclk_o1=clc_in后面忘记了“;”,改正错误,关闭该窗口并且保存少了一个“;”重新编译,提示编译成功开始仿真,执行的是测试文件,             而不是sim.v,如下图,执行的是test_sim.打开wave窗口,准备看波形Wave窗口将workspace中的sim和testsim拖到wave窗口中在下图中所示位置使用run命令观察波形Run10000的意思是执行10000个时

4、间单位,run后面也可以接其他的内容观察波形,查找错误如果有错误就进行修改,关闭窗口保存修改, 重新编译,仿真,直到正确为止。点击鼠标右键,选择editSynplifypro简介Synplify和SynplifyPro是Synplicity公司提供的专门针对FPGA和CPLD实现的逻辑综合工具;支持VHDL93(IEEE1076),包括std_logic_1164,Numeric_std,std_logic_Usigned,std_logic_Signed,std_logic_Arith;和Veril

5、og95(IEEE1364)的可综合子集。建立工程新建工程添加我们要综合的文件,topmodule要最后添加选中文件点击<-addimplementationoptions设置: 在Device的选项卡中选择器件, 例如选用AlteraSTRATIX在Options选项表中做设置pipelining和retiming的区别Pipelining:将较大的组合逻辑用寄存器分割成若干较小的逻辑, 减少从输入到输出的时延,自动优化乘法器、ROM等结构,提高工作频率。Retiming:在不改变逻辑功能的前提下,

6、自动用寄存器分割组合逻辑,在组合电路中插入平衡时延,提高芯片工作频率。本质是寄存器在宏观上的移动,不影响整体的寄存器级数。Retiming的功能比Pipelining更强大,选定了Retiming就一定会自动选上Pipelining。在Constraints的选项表中可以自己设置Frenquency,也可以选择AutoConstraints在ImpementationResults的选项表中做如下选择,ResultFileName就是你要生成的vqm的文件名在timereport选项表中设置在veri

7、log选项卡中设置开始综合,出现错误进行syntaxcheck双击错误信息定位错误进行synthesischeck双击错误信息定位错误综合成功对综合的结果进行分析RTL级图Technologyview点击生成的后缀位ssr的文件可以查看综合报表使用QuartusⅡ进行布线QuartusII是Altera公司的综合性PLD开发软件,  支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器

8、,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII可以在XP、Linux以及Unix上使用,   除了可以使用Tcl脚本完成设计流程外,         提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。建立新的工程注意顶层module的名字添加由sinplifypro产生的vqm文件设置器件,要和在synplifypro中设置的参数一致设置quartusⅡ调用的工具由于我们已经用synplify综

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。