EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt

EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt

ID:51617317

大小:982.50 KB

页数:34页

时间:2020-03-26

EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt_第1页
EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt_第2页
EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt_第3页
EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt_第4页
EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt_第5页
资源描述:

《EDA技术与VHDL实用教程教学全套课件 第2版 廖超平 课件第3章 Quartus II原理图输入法深入.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平第3章QuartusII原理图输入法深入<>EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平要求进一步掌握QuartusII原理图输入法。知识点原理图输入法中Max+plusII老式宏函数的应用原理图输入法中LPM函数的应用原理图输入法中的层次化设计重点和难点Max+plusII老式宏函数在原理图输入法中的应用原理图输入法中的层次化设计EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平引言本章在第二章的基础上,对QuartusII的原理图输入设计法作进一步

2、的讨论。本章主要讨论原理图输入法中的Max+plusII老式宏函数的应用、LPM函数的应用和原理图输入法的层次化设计。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平第3章QuartusII原理图输入法深入原理图输入法中Max+plusII老式宏函数的应用3.1原理图输入法中LPM函数的应用3.2原理图输入法中的层次化设计3.3EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平3.1原理图输入法中Max+plusII老式宏函数的应用在QuartusII原理图输入法中,可供使用的元件库除了基本逻辑元件库以外,还有Max+plusII库和LPM函

3、数元件库。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平Max+plusII库中收有Max+plusII老式宏函数包括加法器、编码器和移位寄存器等74系列器件。综合使用基本逻辑元件库和Max+plusII库的元件,可设计出大多数传统的方法所能设计的数字电路。对于用户已有的用74系列器件设计的数字电路,用户可根据原有的电路图很方便地用QuartusII设计出适用FPGA/CPLD的电路来。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平例3.1用74151设计一个三人表决电路。三人表决电路的真值表如下:abcy000000100100011

4、11000101111011111EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平其最小项表达式是:根据y的最小项表达式,就可知道使用74151设计的三人表决电路的原理图。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平同时,波形仿真结果如下:EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平例3.2用两块74161设计一个五十进制的计数器。74161是4位二进制加法计数器,将两块74161可得八位二进制加法计数器。其模是256。如果计数器从开始0计数,要构成五十进制的计数器,当计数器的值计到十进制数49即二进制数00110001时,要让两

5、块74161的同步置数端有效,使两块74161同时置零。根据此要求设计电路如下图。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平其仿真波形如图:EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平【重点提示】在原理图输入法中,有三种元件之间的连线,节点(node)、总线(bus)和一般的不是节点和总线的连线。当将鼠标放到元件的可连接处,将会出现一十字形,这时按下鼠标左键画线,默认为节点。若要画总线,可先画节点,然后用鼠标按住连线右击鼠标,选择busline,将其改为总线即可。要画一般连线可使用

6、左边工具箱中的linetool工具画线。亦可使用左边工具箱中的orthog-onalnodetool或orthogonalbustool工具画直角的节点或总线。节点或总线可通过用鼠标左键选择后,输入其名字加以命名。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平随着设计的数字系统越来越复杂,系统中每个模块都要从头开始设计是非常困难的。IP(IntellectualProperty)即知识产权的出现使得设计过程变得简单得多。IP核是指将一些在数字电路中常用但比较复杂的功能块,设计成参数可修改的模块,让其他用户可以直接调用这些模块。用户可以在自己

7、的FPGA设计中使用这些严格测试和优化过的模块,减少设计和调试时间,降低开发成本,提高开发效率。3.2原理图输入法中LPM函数的应用EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平IP包括基本宏功能(Megafuncition/PLM)和(MegaCore)两种,在Altera的开发工具QuatrusII中,有一些内带的基本宏功能(Megafuncition/PLM)可供用户使用,本节主要介绍这些QuatrusII内带的基本宏功能。基本宏功能可在原理图设计输入法中使用,也可在HDL设计输入法中使用,本节介绍的是基本宏功能在原理图设计输入法中使

8、用,后者在第五章再做介绍。EDA技术与VHDL实用教程作者:苏莉萍陈东廖超平一、QuatrusII中内带基本宏功能介绍类型描述基本宏功能算术组件包括累

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。