EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx

EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx

ID:51777306

大小:4.02 MB

页数:135页

时间:2020-03-07

EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx_第1页
EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx_第2页
EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx_第3页
EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx_第4页
EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx_第5页
资源描述:

《EDA设计技术 教学课件 作者 杨光永 凌永发_ 第11章 ModelSim仿真工具.pptx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第11章ModelSim仿真工具EDA设计技术仿真的重要性功能验证和时序验证在EDA工程设计过程中具有极其重要的地位,一般要耗费设计者或设计团队约60%-80%的工作量。在实施验证的过程中,要求设计者遵循设计方法学和某种硬件描述语言,编写信号激励文件,编译并生成测试平台,借助仿真软件或硬件验证工具,以文本、图形或其他形式输出验证结果,分析、评价工程设计的可靠性、正确性。ModelSimSEModelSim有不同规模和容量配置:ModelSimSE、ModelSimPE、ModelSimLE,其中ModelSimSE的性能最强、容量最大,至今已发展到10

2、.0版。ModelSimSE10.0e的主窗口由工具栏、库标签页、命令窗口与信息区、工作区四部分组成。ModelSimSEModelSim的库用于存放仿真数据。ModelSim将库分为两类:本地工作库和资源库。利用ModelSim进行仿真分析,一般有两种仿真流程:仿真基本流程和仿真工程流程。区别主要在于是否采用设计优化。ModelSimSE仿真基本流程包括创建工作库、编译设计、加载并运行仿真、结果分析与调试几个阶段。仿真工程流程的工作库是自动创建、自动保留的。创建工程和工作库无论功能仿真还是时序仿真,编译仿真设计文件之前,都必须先创建一个工程和工作库。

3、创建工程是为了统一管理系统设计文件,而工作库的目的是存储编译结果。有两种方法:通过图形用户接口界面创建或通过命令窗口创建。创建工程和工作库例:对8位计数器及其测试平台创建工程。第一步,利用图形用户接口界面GUI创建工程。创建工程和工作库完成上述步骤之后,命令行显示如下信息:vlibworkvmapworkwork#Modifyingmodelsim.inifilemkdirE:/EDA/counter#readingD:modeltech64_10.0ewin64/../modelsim.ini#Loadingprojectcounter创建工程和

4、工作库第二步,拷贝工程配置设置文件。第三步,添加工程文件。创建工程和工作库有四种创建文件的方式:CreatNewFile,新建一个设计文件;AddExistingFile,载入已编制的设计文件;CreatSimulation,创建其他类型仿真文件;CreatNewFolder,创建新文件夹,适于大型系统,按设计单元归类存放在相应文件夹中。创建工程和工作库在ModelSim的Workspace栏可见新建文件counter.v的状态信息。。创建工程和工作库双击counter.v文件,在工作区中编制仿真源文件。若之前已编译过,则单击该文件并右键选择Edit。

5、创建工程和工作库完整的计数器源文件如下,并保存该文件。modulecounter(count,clk,reset);output[7:0]count;inputclk,reset;reg[7:0]count;parametertpd_reset_to_count=3;parametertpd_clk_to_count=2;function[7:0]increment;input[7:0]val;reg[3:0]i;regcarry;beginincrement=val;carry=1'b1;for(i=4'b0;((carry==4'b1)&&(i<=

6、7));i=i+4'b1)beginincrement[i]=val[i]^carry;carry=val[i]&carry;endendendfunctionalways@(posedgeclkorposedgereset)if(reset)count=#tpd_reset_to_count8'h00;elsecount<=#tpd_clk_to_countincrement(count);/*****************************************************************Usethefollowing

7、blocktomakethedesignsynthesizable.always@(posedgeclkorposedgereset)if(reset)count=8'h00;elsecount<=count+8'h01;******************************************************************/endmodule创建工程和工作库新建测试平台文件test_bench.v`timescale1ns/1nsmoduletest_bench;regclk,reset;wire[7:0]count;co

8、unterdut(count,clk,reset);initial//Clockgenerat

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。