EDA第6章EDA应用工具深入(宏功能模块).ppt

EDA第6章EDA应用工具深入(宏功能模块).ppt

ID:52266960

大小:538.51 KB

页数:31页

时间:2020-04-03

EDA第6章EDA应用工具深入(宏功能模块).ppt_第1页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第2页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第3页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第4页
EDA第6章EDA应用工具深入(宏功能模块).ppt_第5页
资源描述:

《EDA第6章EDA应用工具深入(宏功能模块).ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第6章EDA工具应用深入计数器模块乘法器模块锁相环模块存储器模块其他模块内容第6章EDA工具应用深入LPM(参数可设置模块库)Megafunction库是Altera提供的参数化模块库。从功能上看,可以把Megafunction库中的元器件分为:算术运算模块(arithmetic)逻辑门模块(gates)储存模块(storage)IO模块(I/O)LPM概述算术组件累加器、加法器、乘法器和LPM算术函数门电路多路复用器和LPM门函数I/O组件时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆位收发器块(GXB)、LVDS接收器和发送器、PLL

2、重新配置和远程更新宏功能模块存储器编译器FIFOPartitioner、RAM和ROM宏功能模块存储组件存储器、移位寄存器宏模块和LPM存储器函数7.1宏功能模块概述知识产权核的应用AMPP程序MegaCore函数OpenCore评估功能OpenCorePlus硬件评估功能7.1宏功能模块概述7.1.2使用MegaWizardPlug-InManager<输出文件>.bsf:BlockEditor中使用的宏功能模块的符号(元件)。<输出文件>.cmp:组件申明文件。<输出文件>.inc:宏功能模块包装文件中模块的AHDL包含文件。<输出文件>.tdf:要

3、在AHDL设计中实例化的宏功能模块包装文件。<输出文件>.vhd:要在VHDL设计中实例化的宏功能模块包装文件。<输出文件>.v:要在VerilogHDL设计中实例化的宏功能模块包装文件。<输出文件>_bb.v:VerilogHDL设计所用宏功能模块包装文件中模块的空体或black-box申明,用于在使用EDA综合工具时指定端口方向。<输出文件>_inst.tdf:宏功能模块包装文件中子设计的AHDL例化示例。<输出文件>_inst.vhd:宏功能模块包装文件中实体的VHDL例化示例。<输出文件>_inst.v:宏功能模块包装文件中模块的Veril

4、ogHDL例化示例。7.1宏功能模块概述7.1.3在QuartusII中对宏功能模块进行例化1、在VerilogHDL和VHDL中例化2、使用端口和参数定义3、使用端口和参数定义生成宏功能模块计数器乘-累加器和乘-加法器加法/减法器RAM乘法器移位寄存器算数运算模块库参数化乘法器lpm_mult宏功能模块的基本参数表lpm_mult(1)调用lpm_mult(2)lpm_mult参数设置输入输出位宽设置乘法器类型设置(3)编译仿真8位有符号乘法器电路功能仿真波形4.3计数器模块计数器输出端口宽度和计数方向设置计数器模和控制端口设置更多控制端口设置模24方向可控

5、计数器电路lpm_counter计数器功能仿真波形参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内时钟信号。与直接来自片外的时钟相比,片内时钟可以减少时钟延迟,减小片外干扰,还可改善时钟的建立时间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同,下面便举例说明altpll的应用。4.5锁相环模块(1)输入altpll宏功能模块选择芯片和设置参考时钟锁相环控制信号设置输入时钟设置(2)编译和仿真锁相环电路功能仿真波形ROM(ReadOnlyMemory,只读存

6、储器)是存储器的一种,利用FPGA可以实现ROM的功能,但其不是真正意义上的ROM,因为FPGA器件在掉电后,其内部的所有信息都会丢失,再次工作时需要重新配置。QuartusII提供的参数化ROM是lpm_rom,下面用一个乘法器的例子来说明它的使用方法,这个例子使用lpm_rom构成一个4位×4位的无符号数乘法器,利用查表方法完成乘法功能。4.6存储器模块数据线、地址线宽度设置控制端口设置添加.mif文件如下图所示就是基于ROM实现的4位×4位的无符号数乘法器电路图,其参数设置为:LPM_WIDTH=8LPM_WIDTHAD=8LPM_FILE=mult_r

7、om.mif仿真结果4.7其他模块Maxplus2库主要由74系列数字集成电路组成,包括时序电路宏模块和运算电路宏模块两大类,其中时序电路宏模块包括触发器、锁存器、计数器、分频器、多路复用器和移位寄存器,运算电路宏模块包括逻辑预算模块、加法器、减法器、乘法器、绝对值运算器、数值比较器、编译码器和奇偶校验器。对于这些小规模的集成电路,在数字电路课程中有详细的介绍。他们的调入方法和Megafunction库中的宏模块是一样的,只是端口和参数无法设置。计数器74161设计举例模10计数器仿真结果模10计数器仿真波形4.1采用QuartusII软件的宏功能模块lpm_

8、counter设计一个模为60的加法计

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。