实验1一位全加器设计.ppt

实验1一位全加器设计.ppt

ID:52307533

大小:630.56 KB

页数:34页

时间:2020-04-04

实验1一位全加器设计.ppt_第1页
实验1一位全加器设计.ppt_第2页
实验1一位全加器设计.ppt_第3页
实验1一位全加器设计.ppt_第4页
实验1一位全加器设计.ppt_第5页
资源描述:

《实验1一位全加器设计.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验1一位全加器设计实验目的掌握QuartusⅡ进行设计开发的具体步骤,以及重要的功能和使用方法。实验内容与要求:原理图设计一位全加器。完成波形仿真和硬件验证。实验步骤与结果1.建立工作库文件夹该文件夹被默认为工作库(WorkLibrary)。例如:在D盘,建立一个文件夹FULLADD,即路径为D:FULLADD。2.建立一个新的工程(1)、打开QuartusⅡ8.0软件,进入开发环境界面。(2)、选择File→NewProjectWizard,进入新建工程对话框(3)、单击Next,进入AddFiles对话框(4)、选择目标芯片的型号。EDA综合实验箱上采

2、用的可编程逻辑器件的型号是EP3C10E144C8,该型号属于CycloneⅢ系列。(5)、添加第三方EDA工具(6)、设置总结。用于确认设置。如果设置正确,则单击Finish,否则可单击Back返回重新设置。工程设置完成后,可在ProjectNavigator窗口Hierarchy栏看见新建的工程FULLADD。3.设计输入用原理图进行半加器的设计(1)、新建设计文件。选择File→New进入新建文件对话框。可选择多种不同类型的设计输入文件,具体文件类型见表1-2。这里,我们选择BlockDiagram/SchematicFile。(2)、进入图形编辑窗口,

3、添加逻辑器件。假设半加器h_add有两个输入端,分别是加数a和加数b,有两个输出端分别是求和端so和进位端co,则其真值表如表1-3所示。得出co=aANDb;so=aXORb。双击图形编辑窗口空白处,可弹出Symbol对话框,如图1-12所示。在左上角的元件库中一共包含3个库:megafunctions(参数可设置宏功能模块库)、others(集合MAX+PLUSⅡ中的74系列芯片)、primitives(基本逻辑门)。我们可以选中primitives→logic来选择与门和异或门;也可以在name处直接输入名字。需要两个输入信号a和b,选择primitiv

4、es→pin→input;两个输出信号co和so,选择output。双击输入输出端口,改变输入输出信号名称,使其具有可读性,(3)、连接器件信号。将鼠标放在器件虚线边框处,鼠标变为十字,则可以拖动连接。完成后的半加器电路如图。(4)、保存原理图设计文件。存放于D:FULLADD文件夹下,文件名HALFADD,后缀名是.bdf。观察ProjectNavigator窗口的Files栏,可看见原理图文件。4.启动全编译直接启动全编译(Processing→StartCompilation)来自动完成整个编译工作。编译前,将需要编译的文件设置成顶层实体。因为一个工程

5、内可能有多个需要编译的设计文件(特别是层次型的工程设计,全加器以半加器为底层设计,有两个设计文件,一个是半加器,一个是全加器)。具体做法:选中ProjectNavigator窗口File栏,左键选中HALFADD.bdf文件,单击右键,选择SetasTop-LevelEntity。在消息窗口中观察到设置信息。如果在编译中发现错误,QuartusⅡ会在消息窗口中显示错误信息。通过阅读发现错误提示是“so的引脚名称已经存在”。双击红色Error栏,系统会帮助我们对错误定位,将与门输出引脚名称该为so。改正错误后,再次启动全编译。5.仿真通过编译后,必须对工程的功能

6、和时序性质进行仿真测试,了解设计结果是否满足设计要求。仿真分为功能仿真和时序仿真,在全编译后进行仿真,已经包含设计的延时信息,属于时序仿真。(1)、新建波形文件。File→New→VectorWaveformFile。(2)、设置仿真时间。Edit→EndTime。通常设置的时间范围在数十微秒。然后可以通过View→FitinWindow选项将整个仿真窗口设置为完全显示仿真时间(3)、添加仿真信号。通过Edit→Insert→InsertNodeorBus菜单命令打开图1-21所示InsertNodeorBus窗口。然后选择NodeFinder按钮打开图1-2

7、2所示窗口。该窗口有一个过滤选项可以帮助我们过滤需要的信号的类型。如果我们需要输入输出信号,则选择Pins:all;如果我们需要观察内部寄存器,则可以选择Pins:all&Resgiters。在本例中,同学们可以选择Pins:all,然后单击List按钮,在左边的NodesFound窗口就会列出半加器的所有输入输出信号。选中需要观察的信号,单击“>”按钮,将信号放置于右边的SelectedNodes窗口。重复上述步骤,直到添加完所有需要的信号。“>>”按钮表示选中所有信号。完成仿真信号添加后,单击OK按钮,完成设置(4)、输入激励信号。对输入波形进行编辑,确认

8、其逻辑取值。输出波形不需要我们编辑,是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。