Verilog的D触发器及JK触发器实验.doc

Verilog的D触发器及JK触发器实验.doc

ID:55745813

大小:17.00 KB

页数:7页

时间:2020-06-02

Verilog的D触发器及JK触发器实验.doc_第1页
Verilog的D触发器及JK触发器实验.doc_第2页
Verilog的D触发器及JK触发器实验.doc_第3页
Verilog的D触发器及JK触发器实验.doc_第4页
Verilog的D触发器及JK触发器实验.doc_第5页
资源描述:

《Verilog的D触发器及JK触发器实验.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、moduleJKchufa(set,clr,clk,j,k,q3,q3_);inputset,clr,clk,j,k;outputq3,q3_;regq3,q3_;always@(setorclr)beginif(set==1)beginq3<=1;q3_<=0;endelseif(set==0&clr==1)beginq3<=0;q3_<=1;endendalways@(negedgeclk)beginif(j==1&k==0&set==0&clr==0)beginq3<=1;q3_<=0;endelseif(j==0&k==1&set==0

2、&clr==0)beginq3<=0;q3_<=1;endelseif(j==1&k==1&set==0&clr==0)beginq3<=!q3;q3_<=!q3_;endendendmodulemoduleDchufa(set,clr,clk,d,q2,q2_);inputset,clr,clk,d;outputq2,q2_;regq2,q2_;always@(setorclr)beginif(set==1)beginq2<=1;q2_<=0;endelseif(set==0&clr==1)beginq2<=0;q2_<=1;endendal

3、ways@(posedgeclk)beginif(d==0&set==0&clr==0)beginq2<=0;q2_<=1;endelseif(d==1&set==0&clr==0)beginq2<=1;q2_<=0;endendendmodule测试程序`timescale1ns/100ps`include"SRchufa.v"`include"JKchufa.v"`include"Dchufa.v"moduletb_chufa;regs,r,d,j,k,set,clr,clk;wireq1,q1_,q2,q2_,q3,q3_;always#

4、50clk=~clk;initialbeginclk<=0;s<=0;r<=0;d<=0;j<=0;k<=0;set<=0;clr<=0;#50s<=1;r<=0;d<=1;j<=1;k<=0;set<=1;clr<=0;#50s<=1;r<=0;d<=1;j<=1;k<=0;set<=0;clr<=1;#50s<=1;r<=0;d<=1;j<=1;k<=0;set<=0;clr<=0;#50s<=0;r<=1;d<=0;j<=0;k<=1;set<=0;clr<=0;#50s<=1;r<=1;d<=0;j<=1;k<=1;set<=0;clr

5、<=0;#400$stop;endSRchufaoo(set,clr,clk,r,s,q1,q1_);Dchufaox(set,clr,clk,d,q2,q2_);JKchufaoy(set,clr,clk,j,k,q3,q3_);endmodule

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。