格雷码、二进制转换及译码电路.doc

格雷码、二进制转换及译码电路.doc

ID:56523811

大小:558.50 KB

页数:8页

时间:2020-06-27

格雷码、二进制转换及译码电路.doc_第1页
格雷码、二进制转换及译码电路.doc_第2页
格雷码、二进制转换及译码电路.doc_第3页
格雷码、二进制转换及译码电路.doc_第4页
格雷码、二进制转换及译码电路.doc_第5页
资源描述:

《格雷码、二进制转换及译码电路.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术与应用实验报告实验名称:格雷码、二进制转换及译码电路姓名:丹学号:100401202班级:电信(2)班时间:2012.11.27理工大学紫金学院电光系一、实验目的1)学习用VHDL代码描述组合逻辑电路的方法。2)掌握when….else….,generate和case并行语句的使用。二、实验原理1)学习VHDL的when….else….,generate和case并行语句。2)利用when….else….并行语句描述4位二进制码/格雷码转换电路。3)利用generate并行语句描述n位格雷码/二进制码转换电路。4)利用case并行语句实现译码电路。5)利用实验箱验证所设计

2、的电路的正确性,要求将输入输出的数据用数码管显示。三、实验容1、二进制转换为格雷码4位二进制格雷码转换的真值表如图所示:1.1建立工程,输入代码先建立工程,工程命名为“btog”,顶层文件名为“btog”。选择“file→new”,在弹出的窗口中选择“VHDLFile”建立“VHDL”文件。在新建的VHDL文件中输入二进制格雷码转换的VHDL代码,将文件保存。二进制转换为格雷码的代码:1.2编译仿真对当前文件进行编译,编译通过以后建立仿真波形,保存为“b_to_g.vwf”.为波形文件添加节点,将“endtime”设置为100μs,将输入输出编组,并为输入信号赋值,其中“start

3、value”为“0000”,“countevery”设置为5μs.其波形如下:仿真结果2、generate语句实现格雷码转换为二进制对于n位二进制转换为格雷码的码转换电路,转换表达式如下:Bn=GnBi=Gi⊕B(i+1)2.1建立工程,输入代码先建立工程,工程命名为“gtob”,顶层文件名为“g_to_b2”。选择“file→new”,在弹出的窗口中选择“VHDLFile”建立“VHDL”文件。在新建的VHDL文件中输入格雷码二进制转换的VHDL代码,将文件保存。转换代码:2.2编译仿真对当前文件进行编译,编译通过以后建立仿真波形,保存为“gtob.vwf”.为波形文件添加节点,

4、将“endtime”设置为100μs,将输入输出编组,并为输入信号赋值,其中“startvalue”为“0000”,“countevery”设置为5μs.其波形如下:3、case语句实现译码电路代码描述:仿真波形:4、管脚配置利用实验箱的模式6来验证设计电路的正确性。用键5~键8表示输入管脚g0~g3,发光二极管D1~D4表示输出管脚b0~b4。用7、8两个七段显示译码管表示格雷码和二进制码。选择“assignment→assignmenteditor”弹出管脚配置图,在“location”一栏中填入相应器件的管脚。5、下载将文件下载到实验箱,对实验箱进行操作,将键5~键8按钮设置

5、为不同的电平,观察七段显示译码管的情况。五、小结与体会通过这次试验我对软件的运用又有了更一步的认识,熟练地操作。而且将学过的知识用新的编程来做,通过此次实验学会了二进制和格雷码之间的转换,以及用generate和generic方法书写程序。对于n位二进制码转换为格雷码的码转换电路,转换表达式如下:在软件编程时遇见了错误,然后经自己的检查将程序修改好。这样下次才不会犯错而且要注意。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。