数字电路与逻辑设计实验.doc

数字电路与逻辑设计实验.doc

ID:56525291

大小:1.00 MB

页数:28页

时间:2020-06-27

数字电路与逻辑设计实验.doc_第1页
数字电路与逻辑设计实验.doc_第2页
数字电路与逻辑设计实验.doc_第3页
数字电路与逻辑设计实验.doc_第4页
数字电路与逻辑设计实验.doc_第5页
资源描述:

《数字电路与逻辑设计实验.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字电路与逻辑设计实验报告学院:班级:姓名:学号:日期:一.实验名称:实验一:QuartusII原理图输入法设计与实现实验二:用VHDL设计与实现组合逻辑电路实验三:用VHDL设计与实现时序逻辑电路实验四:用VHDL设计与实现数码管动态扫描控制器二.实验所用器件及仪器:1.计算机2.直流稳压电源3.数字系统与逻辑设计实验开发板三.实验要求:实验一:(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。(2)用(1)实现的半加器和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板上测试。要求

2、用拨码开关设定输入信号,发光二极管显示输出信号。(3)用3—8线译码器和逻辑门设计实现函数F=/C/B/A+/CB/A+C/B/A+CBA,仿真验证其功能并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。注:实验时将三个元器件放在一个newblockdiagram中实现。实验二:(1)用VHDL语言设计实现一个共阴极7段数码译码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,7段数码管显示输出信号。(2)用VHDL语言设计实现一个8421码转余三码的代码器,仿真验证其功能,并下载到

3、实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。(3)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出1,否则出0;仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。实验三:(1)用VHDL语言设计实现一个带异步复位的8421十进制计数器,仿真验证其功能,并下载到实验板测试。要求用按键设定输入信号,发光二极管显示输出信号。(2)用VHDL语言设计实现一个分频系数为12,输出信号占空比为50%的分频器,仿真验证其功能。注:实验时将(1)、(2)和数码

4、管译码器3个电路进行,并下载到实验板显示计数结果。实验四:(1)用VHDL语言设计实现六个数码管串行扫描电路,要求同时显示0,1,2,3,4,5这几个不同的数字图形到数码管上,仿真验证其功能,并下载到实验板测试。(2)用VHDL语言设计实现六个数码管滚动显示电路:A.循环左循环,左进右出。状态为:012345->123450->234501->345012->450123->501234->012345B.向左滚动,状态为(X表示数码管不显示):012345->12345X->2345XX->345XXX->45XXXX-

5、>5XXXXX->XXXXXX->XXXXX0->XXXX01->XXX012->XX0123->X01234->012345一.实验原理及设计思路:实验一:(1)半加器是考虑两位二进制数相加,出来的结果有二者加后的一个低位数,和一个这两位数相加所得的进位数。所以用异或门和与门即可实现双输入双输出的半加器。(2)全加器可以以半加器为基础,在考虑两位二进制数相加时也考虑上地位的进位信号,输出与半加器类似。(3)译码器加逻辑门可以实现相应的逻辑函数,将有相应最小项的输出项或即可。实验二:(1)共阴极7段数码译码器:拨码开关的不

6、同状态对应输入信号的0和1,通过改变拨码开关的状态改变输入值,此时用VHDL语言描述每个输入值下对应的数在数码管中的显示。(1)8421码转余三码的代码器:将8421BCD码加上0011即为对应的余三码,通过通过改变拨码开关的状态改变输入的84212BCD码,由四个LED灯的亮与灭(亮表示该值为1,灭表示0),来表示余三码的码值。(2)奇偶校验电路:同理用拨码开关设定输入信号,发光二极管显示输出信号,输入奇数个1时,对应的发光二极管亮,反之则为熄灭状态。实验三:(1)异步计数器:由于是异步复位,且yibuclear(清零信

7、号)优先级最高,当它有效时使状态清零。或者当到达第11个状态(1010)时复位,使状态回归到初始状态(0000)。其余时刻,用cp来计数,每按一次键cp=1,状态加1。因此设计2个输入端,一个输出端数组即可。(2)分频器:是使cp经过12个周期,输出的信号正好完成一次完整的翻转,因此需要计数,该计数器模值为12,每六个状态时翻转一次。(3)可以使用分频器为异步计数器提供脉冲信号,异步计数器的输出作为数码管译码管的输入,由数码管译码管显示当前数据。实验四:(1)六个数码管串行扫描电路:由实验板上18号元件提供时钟,每过一个周

8、期,亮一个数码管,且该数码管序号也会改变,因此需要两个数组来体现这种改变。设选择一个管亮的数组为xuan(5downto0),数码管上控制输出数字形状的数组为guan(6downto0),通过输入的时钟改变状态(signalstatus),由状态定xuan,guan。由于要求“同时显示”,我们知道闪烁发

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。