Verilog_HDL的交通灯控制器设计.doc

Verilog_HDL的交通灯控制器设计.doc

ID:56629362

大小:1.63 MB

页数:20页

时间:2020-06-30

Verilog_HDL的交通灯控制器设计.doc_第1页
Verilog_HDL的交通灯控制器设计.doc_第2页
Verilog_HDL的交通灯控制器设计.doc_第3页
Verilog_HDL的交通灯控制器设计.doc_第4页
Verilog_HDL的交通灯控制器设计.doc_第5页
资源描述:

《Verilog_HDL的交通灯控制器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、.课程设计报告2015-2016学年第2学期课程设计名称:电子综合设计EDA课程设计院(系):电子信息学院专业:电子信息工程班级:电子1313:xx学号:1310034303xx综合实验时间:2016/7/11-2016/7/15指导教师:钟旭提交时间:2016/7/15..电机学院课程设计任务书课程名称电子综合设计EDA课程设计课程代码033117P1课程设计课题清单1、数字式竞赛抢答器2、自动售票机3、乒乓球比赛游戏机4、电子密码锁5、出租车自动计费器6、洗衣机控制器7、交通信号灯控制器8、电梯控制器设计时间2016年7月11日——2016年7月15日一

2、、课程设计任务汇总设计任务:交通信号灯控制器功能要求:1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外;2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号;3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯;4、主、支干道均有车时,两者交替允许通行,主干道每次放行时间A,支干道每次放行时间A,设立A、B计时显示电路;5、在每次由绿灯亮到红

3、灯亮的转换过程中,要亮黄灯C时间作为过渡,使行驶中的车辆有时间停到禁行线外,设立C计时显示电路。时间A>B>C..二、对课程设计成果的要求(包括课程设计报告、图纸、图表、实物等软硬件要求)1.课程设计基本要求课题分析、查阅资料、方案论证、方案实现、系统联调、撰写课程设计报告2.成果要求(1)系统方案(2)电路RTL原理图(3)Verilog源程序和流程图(或状态机转移图)(4)Verilog测试程序(5)设计说明书(课程设计报告)三、课程设计工作进度计划:时间安排容星期一下达任务星期二程序设计星期三程序调试星期四撰写报告星期五考核答辩四、主要参考资料:指导书

4、:《Verilog数字系统设计教程》夏宇闻编著航空航天大学参考资料:《EDA设计实验教程》艾明晶编著清华大学..目录第一章设计原理41.1设计要求41.2设计思路和原理41.3实现方法4第二章VerilogHDL程序设计62.1整体设计62.2具体设计7第三章仿真测试73.1波形仿真7第四章设计总结10..第一章设计原理1.1设计要求设计一个交通控制器,用LED显示灯表示交通状态,并以7段数码显示器显示当前状态剩余秒数主干道绿灯亮时,支干道红灯亮;反之亦然,二者交替允许通行,主干道每次放行35s,支干道每次放行25s。每次由绿灯变为红灯的过程中,亮光的黄灯作

5、为过渡,黄灯的时间为5s。能进行特殊状态显示,特殊状态时东西、南北路口均显示红灯状态。用LED灯显示倒计时,并且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。能实现特殊状态的功能显示。[1]1.2设计思路和原理(1)主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。(2)选择1HZ时钟脉冲作为系统时钟。(3)45s、25s、5s定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,启、闭三色信号灯,并启动另一计时电路。(4)交通灯状态变化如表1及图1所示:表1交通灯状态图..图1交通灯状态图(5)交通灯

6、设计输入信号4个:CLK(时钟),EN(使能),EMERGENCY(紧急),BCHECK(检测);输出信号4个:LAMPA(主干道信号灯),LAMPB(支干道信号灯),ACOUNT(主干道计数器),BCOUNT(支干道计数器)。交通灯控制原理如图2所示。图2交通灯原理图1.3实现方法本次采用文本编辑法,即利用VerilogHDL语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示。设计中用两组红黄绿LED模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号提供。[2]..第二章Veril

7、ogHDL程序设计2.1整体设计根据上章设计原理,交通灯控制的关键是各个状态之间的转换和进行适当的时间延时,根据状态机的设计规,本次设计了三个状态之间的循环转化,其真值表及状态转化图如下所示:图2交通灯控制状态转化说明:该状态图为交通灯在正常情况下的状态转化图,进入控制后,状态00时主干道绿灯及支干道红灯亮起,进入状态01后两路黄灯亮起,状态11时主干道红灯及支干道绿灯亮起。进入10状态两路黄灯亮起。结束一个循环,从00状态重新开始循环。为实现控制与显示的功能,需要设计交通灯点亮顺序控制程序,倒数计时程序,七段数码管显示程序,数码管显示扫描程序,其系统结构图

8、如下所示:图3交通灯控制系统结构图其中rst为复位信

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。