EDA技术实验报告完整版.doc

EDA技术实验报告完整版.doc

ID:56721014

大小:4.43 MB

页数:32页

时间:2020-07-06

EDA技术实验报告完整版.doc_第1页
EDA技术实验报告完整版.doc_第2页
EDA技术实验报告完整版.doc_第3页
EDA技术实验报告完整版.doc_第4页
EDA技术实验报告完整版.doc_第5页
资源描述:

《EDA技术实验报告完整版.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表序号实验项目名称成绩指导教师1实验一 QuartusII9.0软件的使用蔡剑卿2实验二用文本输入法设计2选1多路选择器蔡剑卿3实验三用文本输入法设计7段数码显示译码器蔡剑卿4实验四用原理图输入法设计8位全加器蔡剑卿5实验五乐曲硬件演奏电路的设计蔡剑卿67891011121314151617181920福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级

2、:2010级姓名:邱彬彬学号:实验课程: EDA技术实验室号:__田实405实验设备号:2B实验时间:2013年4月13日 指导教师签字:成绩:实验一QuartusII9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉QuartusII9.0软件的使用,学会利用QuartusII9.0软件来完成整个EDA开发的流程。2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。QuartusII是Altera

3、提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:QuartusII9.0软件4.操作方法与实验步骤利用QuartusII9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。利用QuartusII9.0软件实现引脚锁定和编译文件下载。利用QuartusII9.0软件实现原理图输入设计文件的编

4、辑和产生相应的原理图符号元件。5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。设计半加器:在进行半加器模块逻辑设计时,采用由上至下的设计方法,在进行设计输入时,需要由下至上分级输入,使用QuartusIIGraphicEditor进行设计输入的步骤如下。(1)、打开QUARTUSII软件,选择File-newprojectwizard…新建一个设计实体名为has的项目文件;(2)、新建文件,在block.bdf窗口下添加元件符号,并连接。如下图:半加器原理图(3)、将此文件另存为ha

5、s.gdf的文件。(4)、在主菜单中选择Processing→StartCompilation命令,系统对设计进行编译,同时打开CompilationReportFlowSummary窗体,Status视图显示编译进程。如下图。(5)在主菜单中选择File→New命令,打开New对话框。单击New对话框中的OtherFiles选项卡标签,再选择VectorWaveformFile选项,单击OK按钮,组建一个Waveform1.vwf的波形文件。(6)在Waveform1.vwf的波形文件左侧单击右键,选择Insert→InsertNodeorBus(或直接双击界面)打开

6、后再选择NodeFinder按钮,打开。在出现的对话框中的Filter下拉列表中选择Pins:all项,单击List按钮,在NodesFound列表中显示项目中的所有引脚节点。将所有节点都添加到SelectedNodes列表中,确定,关闭对话框。(7)选择主菜单中的Edit→EndTime命令,打开对话框,在Time编辑框中输入100,单位us。按住Ctrl键,同时向下滚动鼠标滑轮,使窗口比例合适,拖动选择节点a的一段波形,使其被选中,然后单击左侧工具栏的按钮,使选中的一段波形状态变为1。采取同样的方法,将输入点都进行设置。(8)选择File→Save命令,接受默认名称

7、has.scf,确定,存盘。为了对设计进行仿真,创建的波形文件的名称必须与设计文件的名称相同,并且它们要保存在同一个子目录下。(9)选择Processing→StartSimulation命令。如果没有错误的话,弹出Simulatorwassuccessful。仿真波形如下图:半加器波形图(10)选择File→Creat/Update→CreatsymbolFilesforcurrentfiles,接受对话框内默认的名称has.bsf,确认后,系统生成元件,并保存在上一步设置的bsa.bsf文件中。6.质疑、建议、问题讨论(1)因为是第一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。