汽车尾灯控制系统器设计.doc

汽车尾灯控制系统器设计.doc

ID:56968345

大小:484.51 KB

页数:10页

时间:2020-07-29

汽车尾灯控制系统器设计.doc_第1页
汽车尾灯控制系统器设计.doc_第2页
汽车尾灯控制系统器设计.doc_第3页
汽车尾灯控制系统器设计.doc_第4页
汽车尾灯控制系统器设计.doc_第5页
资源描述:

《汽车尾灯控制系统器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《可编程器件》课程设计报告课题:汽车尾灯控制器设计班级学号学生专业系别指导老师工学院电子与电气工程学院2014年11月一、设计目的《可编程器件》课程设计是时一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生通过综合的系统设计,熟悉应用系统的设计过程、设计要求、完成的工作容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。通过课程设计,应能加强学生如下能力的培养:(1)独立工作能力和创造力;(2)综合运用专业

2、知识及基础知识,解决实际工程技术问题的能力;(3)查阅图书资料、产品手册和各种工具书的能力;(4)工程绘图的能力;(5)编写技术报告和编制技术资料的能力。二、设计要求假设汽车尾部左右两侧各有3盏知识灯,其控制功能包括:(1)汽车正常行驶是指示灯都不亮。(2)汽车右转弯时,右侧的一盏指示灯RD1交替闪烁,周期为2秒,其余灯熄灭。(3)汽车左转弯时,左侧的一盏指示灯LD1交替闪烁,周期为2秒,其余灯熄灭。(4)汽车刹车时,左右两侧的一盏指示灯LD2,RD2同时亮。(5)汽车夜间行驶时,左右两侧的一盏指示灯LD3,RD3同时一直亮,供照明使用。三、设计的具体

3、实现1、汽车尾灯控制器的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night系统的输出信号:汽车左侧3盏指示灯LD1,LD2,LD3和汽车右侧3盏指示灯

4、RD1,RD2,RD3实现以上功能。系统的整体组装设计原理如图所示:汽车行驶信号主控模块左灯控制模块右灯控制模块显示时钟系统设计整体框图汽车尾灯点亮与汽车运行状态之间的关系运行状态左侧尾灯LD1,LD2,LD3右侧尾灯RD1,RD2,RD3正常运行灯灭右转弯灯灭RD1交替闪烁左转弯LD1交替闪烁灯灭刹车LD2亮RD2亮夜间行车LD3亮RD3亮2、单元模块设计与分析(1)、汽车尾灯主控模块数据入口:RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;数据入口:LP:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制

5、信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号;VHDL程序(CTRL.VHD):LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYCTRLISPORT(LEFT,RIGHT,BRAKE,NIGHT:INSTD_LOGIC;LP,RP,LR,BRAKE_LED,NIGHT_LED:OUTSTD_LOGIC);ENDENTITYCTRL;ARCHITECTUREARTOFCTRLISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BRAKE;PROCESS(LEFT,

6、RIGHT)VARIABLETEMP:STD_LOGIC_VECTOR(1DOWNTO0);BEGINTEMP:=LEFT&RIGHT;CASETEMPISWHEN"00"=>LP<='0';RP<='0';LR<='0';--当汽车直行时,左右灯都不亮WHEN"01"=>LP<='0';RP<='1';LR<='0';;--当汽车右拐时,右拐指示灯亮WHEN"10"=>LP<='1';RP<='0';LR<='0';--当汽车左拐时,左指示灯亮WHENOTHERS=>LP<='0';RP<='0';LR<='1';--当汽车刹车时,左右灯都亮ENDC

7、ASE;ENDPROCESS;ENDARCHITECTUREART;仿真波形:功能:该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。(2)、左边灯控制模块数据入口:CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;数据出口:LEDL:左侧LD1灯控制信号;LEDB:左侧LD2灯

8、控制信号;LEDN:左侧LD3灯控制信号;VHDL程序(LC.VHD):LIBRARYIEEE

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。