数码管led显示器控制电路课件.ppt

数码管led显示器控制电路课件.ppt

ID:57001898

大小:623.00 KB

页数:36页

时间:2020-07-26

数码管led显示器控制电路课件.ppt_第1页
数码管led显示器控制电路课件.ppt_第2页
数码管led显示器控制电路课件.ppt_第3页
数码管led显示器控制电路课件.ppt_第4页
数码管led显示器控制电路课件.ppt_第5页
资源描述:

《数码管led显示器控制电路课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、专题二. 经典模块设计——LED数码管显示控制电路设计数码管显示原理数码管的组成:LED数码管是由发光二极管构成的,常用的有8段。数码管的分类:有共阴和共阳极两种。多个LED的阴极连在一起的为共阴极数码管,阳极连在一起的为共阳极数码管。共阴极数码管的公共端接地,阳极(a到h)接高电平,数码管点亮。共阳极数码管的公共端接电源,阴极(a到h)接低,数码管点亮。数码管的段码和位码数码管的位码就是提供给公共端的电平。位码的作用是控制数码管的亮灭。数码管的段码就是提供给a,b,c,d,e,f,g,h的电平。段码的作用是控制数码管显示什么字符。单个数码管需要9个端口来控制。位码段码举例:位

2、码和段码例1:显示字符“1”。(共阴极数码管)位码应该接低电平。段码按照hgfedcba的顺序,应该为“00000110”。例2:显示字符“A”。(共阳极数码管)位码应该接高电平。段码按照hgfedcba的顺序,应该为“10001000”。LED数码管显示控制电路静态显示方式动态显示方式(1)LED静态显示控制电路静态显示方式将每一个数码管的段码端a~h连接到CPLD/FPGA的IO端口上,公共端接地(对于共阴极LED)。每个数码管需要8个IO口线,N个数码管共需要N×8条IO口线。当CPLD/FPGA有相当多的IO端口资源,并且显示的位数较少时(通常为1~2位),可以直接使用

3、静态显示的方式。数码管静态显示方式的优点是连线简单,软件编程简单。缺点是需要耗费大量的IO端口资源。8×N静态显示的方式CPLD/FPGA驱动LED静态显示译码程序Libraryieee;Useieee.std_logic_1164.all;Useieee.std_logic_unsigned.all;Useieee.std_logic_arith.all;Entitydisplayis--共阴极数码管段码译码port(data:INstd_logic_vector(3downto0);seg:OUTstd_logic_vector(6downto0)--gfedcba);En

4、ddisplay;architectureaofdisplayisbeginprocess(data)begincasedatais--gfedcbawhen"0000"=>seg<="0111111";--0when"0001"=>seg<="0000110";--1when"0010"=>seg<="1011011";--2when"0011"=>seg<="1001111";--3when"0100"=>seg<="1100110";--4when"0101"=>seg<="1101101";--5when"0110"=>seg<="1111101";--6when"01

5、11"=>seg<="0000111";--7when"1000"=>seg<="1111111";--8when"1001"=>seg<="1100111";--9when"1010"=>seg<="1110111";--Awhen"1011"=>seg<="1111100";--bwhen"1100"=>seg<="0111001";--cwhen"1101"=>seg<="1011110";--dwhen"1110"=>seg<="1111001";--Ewhen"1111"=>seg<="1110001";--Fwhenothers=>seg<="0000000";--

6、全灭endcase;endprocess;enda;仿真结果将要显示的数据译成段码在数码管上显示出来。静态显示应用举例:设计一个4路独立键盘输入电路,读取键盘的键值,并将键值在一位静态共阴极LED数码管中显示出来。输入为时钟信号CLK(50MHz),按键状态KEY1,KEY2,KEY3,KEY4。输出为LED数码管的段码SEG[6..0]。电路模块输入信号:clk:时钟,50MHz;reset:复位信号;key1,key2,key3,key4:按键信号输入;输出信号:SEG[6..0]:静态LED数码管的段码信号。分频模块去抖动模块键盘扫描译码模块50MHz键盘扫描译码模块程序

7、(1)--输出’1’的段码--输出’2’的段码--输出’3’的段码--输出’4’的段码--数码管灯灭键盘扫描译码模块程序(2)keyscan程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitykeyscanisport(clk10hz:INstd_logic;key1,key2,key3,key4:INstd_logic;keyva

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。