EDA 技术实用教程第12章课件.ppt

EDA 技术实用教程第12章课件.ppt

ID:57057307

大小:330.50 KB

页数:34页

时间:2020-07-30

EDA 技术实用教程第12章课件.ppt_第1页
EDA 技术实用教程第12章课件.ppt_第2页
EDA 技术实用教程第12章课件.ppt_第3页
EDA 技术实用教程第12章课件.ppt_第4页
EDA 技术实用教程第12章课件.ppt_第5页
资源描述:

《EDA 技术实用教程第12章课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术实用教程第12章系统仿真12.1仿真KX康芯科技仿真也称模拟(Simulation)是对电路设计的一种间接的检测方法,是利用计算机对整个硬件系统进行模拟检测,但却可以不接触具体的硬件系统。12.2VHDL源程序仿真KX康芯科技图12-1VHDL仿真流程12.2VHDL源程序仿真KX康芯科技【例12-1】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand1ISPORT(aaa,bbb:INSTD_LOGIC;ccc:OUTSTD_LOGIC);ENDand1;ARCHITECTUREoneOFand1ISBEGINccc

2、<=aaaANDbbb;END;12.2VHDL源程序仿真KX康芯科技【例12-2】LIBRARYIEEE;USEIEEE.std_logic_1164.all;ENTITYTRIBUF_and1ISGENERIC(ttri:TIME:=1ns;ttxz:TIME:=1ns;ttzx:TIME:=1ns);PORT(in1:INstd_logic;oe:INstd_logic;y:OUTstd_logic);ENDTRIBUF_and1;ARCHITECTUREbehaviorOFTRIBUF_and1ISBEGINPROCESS(in1,oe)BEGINIFoe‘EVEN

3、TTHEN(接下页)KX康芯科技IFoe='0'THENy<=TRANSPORT'Z'AFTERttxz;ELSIFoe='1'THENy<=TRANSPORTin1AFTERttzx;ENDIF;ELSIFoe='1'THENy<=TRANSPORTin1AFTERttri;ELSIFoe='0'THENy<=TRANSPORT'Z'AFTERttxz;ENDIF;ENDPROCESS;ENDbehavior;LIBRARYIEEE;USEIEEE.std_logic_1164.all;USEwork.tribuf_and1;ENTITYand1ISPORT(aaa:IN

4、std_logic;bbb:INstd_logic;ccc:OUTstd_logic);ENDand1;ARCHITECTUREEPF10K10LC84_a3OFand1IS......ENDEPF10K10LC84_a3;12.3仿真激励信号的产生KX康芯科技【例12-3】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYADDER4ISPORT(a,b:ININTEGERRANGE0TO15;c:OUTINTEGERRANGE0TO15);ENDADDER4;ARCHITECTUREoneOFADDER4ISBEGINc<=a+b

5、;ENDone;第一种方法:12.3仿真激励信号的产生KX康芯科技【例12-4】ENTITYSIGGENISPORT(sig1:OUTINTEGERRANGE0TO15;sig2:OUTINTEGERRANGE0TO15);END;ARCHITECTURESimOFSIGGENISBEGINsig1<=10,5AFTER200ns,8AFTER400ns;sig2<=3,4AFTER100ns,6AFTER300ns;END;12.3仿真激励信号的产生KX康芯科技图12-2SIGGEN的仿真输出波形12.3仿真激励信号的产生KX康芯科技【例12-5】ENTITYBENCHI

6、SEND;ARCHITECTUREoneOFBENCHISCOMPONENTADDER4PORT(a,b:integerrange0to15;c:OUTINTEGERRANGE0TO15);ENDCOMPONENT;COMPONENTSIGGENPORT(sig1:OUTINTEGERRANGE0TO15;sig2:OUTINTEGERRANGE0TO15);ENDCOMPONENT;SIGNALa,b,c:INTEGERRANGE0TO15;BEGINU1:ADDER4PORTMAP(a,b,c);U2:SIGGENPORTMAP(sig1=>a,sig2=>b);END

7、;12.3仿真激励信号的产生KX康芯科技图12-3BENCH仿真波形图12.3仿真激励信号的产生KX康芯科技force<信号名><值>[<时间>][,<值><时间>…][-repeat<周期>]第二种方法:forcea0(强制信号的当前值为0)forceb00,110(强制信号b在时刻0的值为0,在时刻10的值为1)forceclk00,115–repeat20(clk为周期信号,周期为20forcea100,5200,8400forceb30,4100,630012.4VHDL测试基准KX康芯科技【例12-6】L

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。