Erdas遥感图像处理(一)课件.ppt

Erdas遥感图像处理(一)课件.ppt

ID:57057328

大小:7.55 MB

页数:52页

时间:2020-07-30

Erdas遥感图像处理(一)课件.ppt_第1页
Erdas遥感图像处理(一)课件.ppt_第2页
Erdas遥感图像处理(一)课件.ppt_第3页
Erdas遥感图像处理(一)课件.ppt_第4页
Erdas遥感图像处理(一)课件.ppt_第5页
资源描述:

《Erdas遥感图像处理(一)课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、ERDAS遥感图像处理刘海测绘遥感信息工程国家重点实验室2010-08-23主要内容视窗操作数据格式转换数据预处理图像处理遥感制图自动配准智能变化检测其他图像处理软件介绍Erdas9.2安装安装应用程序复制Crack文件夹里的license.dat与ERDAS.exe到应用程序安装的目下,C:ProgramFilesLeicaGeosystemsSharedBinNTx86替换原来的文件。安装license:开始程序LeicaGeosystemsLicensingToolsFlexLMTools在“ConfigServices”下安装flexlmservice.

2、Pathtothelmgrd.exefile:C:ProgramFilesLeicaGeosystemsSharedBinNTx86Lmgrd.exePathtothelicensefile:C:ProgramFilesLeicaGeosystemsSharedBinNTx86license.datPathtothedebuglogfile:Gotothetab"Start/Stop/Reread"andclickon"StartServer"运行程序,select"SpecifytheLicenseServer(

3、FloatingLicense),andtype"localhost"Erdas2010安装安装应用程序安装licenseERDASIMAGE图标面板菜单命令及其功能菜单命令菜单功能Session:综合菜单完成系统设置、面板布局、日志管理、启动命令工具、批处理过程、实用功能、联机帮助等Main:主菜单启动ERDASIMAGINE图标面板中包括的所有功能模块Tools:工具菜单完成文本编辑、矢量及栅格数据属性编辑、图形图像文件坐标变换、注记及字体管理、三维动画制作Utilities:实用菜单完成多种栅格数据格式的设置与转换、图像的比较Help:帮助菜单启动关于图标面板的联机帮助,ER

4、DASIMAGINE联机文档查看、动态连接库浏览等命令功能Viewer打开IMAGINE视窗Import/Export启动数据输入/输出模块DataPreparation启动数据预处理模块MapComposer启动地图编制模块ImageInterpreter启动图像解译模块ImageCatalog启动图像库管理模块ImageClassification启动图像分类模块SpatialModeler启动空间建模工具Vector启动矢量功能模块Radar启动雷达图像处理模块VirtualGIS启动虚拟GIS模块StereoAnalyst启动三维立体分析模块AutoSync启动图像自动匹配

5、模块Subpixel启动子像元分类模块DeltaCue启动智能变化检测模块工具图标及其功能Session功能Preference面向单个用户或全体用户,设置ERDASIMAGINE功能参数和系统默认值Configuration为ERDASIMAGINE配置各种外围设备,如打印机、磁带机SessionLog记录ERDASIMAGINE的处理过程和错误信息ActiveProcessList查看与取消ERDASIMAGINE系统当前正在运行的处理操作Commands启动命令工具,进入命令菜单状态,通过命令执行处理操作EnterLogMessage向系统综合日志(SessionLog)输入

6、文本信息StartRecordingBatchCommands开始记录一个或多个最近使用的ERDASIMAGINE命令OpenBatchCommandFile打开一个已经存在的批处理命令文件ViewOfflineBatchQueue打开批处理进程对话框,查看、编辑、删除批处理队列FlipIcon确定图标面板(IconPanel)的水平或垂直显示状态TileViewers平铺排列两个以上已经打开的视窗(Viewer)CloseAllViewers关闭当前打开的所有视窗(Viewer)Main进入主菜单(MainMenu),启动图标面板中包括的所有模块Tools进入工具菜单(Tools

7、Menu),显示和编辑文本及图像文件Utilities进入实用菜单(UtilitiesMenu),执行ERDAS的常用功能Help打开ERDASIMAGINE联机帮助(On-lineHelp)文档Properties打开IMAGINE系统特性对话框,查看和配置序列号与模块及环境变量GenerateSystemInformationReport产生系统信息报告ExitIMAGINE退出ERDASIMAGINE软件环境Tools功能EditTextFiles建立和编辑AS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。