对于FPGA状态机的设计心得.doc

对于FPGA状态机的设计心得.doc

ID:58431026

大小:10.50 KB

页数:1页

时间:2020-09-03

对于FPGA状态机的设计心得.doc_第1页
资源描述:

《对于FPGA状态机的设计心得.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、对于FPGA状态机的设计心得对于FPGA状态机的设计分为两类,分为mealy状态机和Moore状态机,mealy状态机的输出不仅与当前输入有关还与当前状态有关,而Moore状态机的输出仅与当前状态有关。对于状态机描述首先要知道输入,输出,当前状态,下一个状态的基本定义。对不状态机的设计,首先要有一个初始状态,一般命名为IDLE,其状态一般设定在复位信号到来时。对于时钟敏感的信号,在其最大的一个时钟周期作为总的状态循环,最下的一个时钟信号最为一个状态指令,一般用于时序图的描述;对于非时钟敏感的信号,对于每一个当前工作状态的判断,一般用于控制的描述。对于时序图的描述,其类似于对于过

2、程的控制,相比于C语言;而对于控制的描述,其类似对于工作对象的描述,相比于C++语言。时序所处理的,是其过程中的每一段进程的判断;控制所处理的,是其对结果和开始的判断。两种状态机所处理的机制不同。而一般对于时序的描述用Moore状态机,其输出仅与当前状态有关,类似时钟的信号产生仅与当前的所处的状态;而对于控制的描述用mealy状态机。其输出不仅与当前输入有关还与当前状态有关,类似其控制输出的信号。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。