基于VHDL的自动售货机的设计.doc

基于VHDL的自动售货机的设计.doc

ID:58507963

大小:2.06 MB

页数:27页

时间:2020-05-18

基于VHDL的自动售货机的设计.doc_第1页
基于VHDL的自动售货机的设计.doc_第2页
基于VHDL的自动售货机的设计.doc_第3页
基于VHDL的自动售货机的设计.doc_第4页
基于VHDL的自动售货机的设计.doc_第5页
资源描述:

《基于VHDL的自动售货机的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、自动售货机的设计与实现摘要:本文介绍了VHDL的特点和应用,以自动售货机为例,详细说明了其实现过程。本系统使用VHDL语言编写,用状态基来实现各功能,使用MAXPLUSⅡ进行仿真,模拟各模块的实现。本文详细介绍如何运用VHDL语言及MAXPLUSⅡ进行仿真,本文设计的自动售货机实现了选择货物,投币,找零,显示,多次交易,在一次购买中选择多个货物等自动售货机的基本功能。本文给出了各个模块的源程序、各模块的连接图以及各个模块的仿真图,并做出了详细的分析。关键词:VHDL语言,MAXPLUSⅡ,自动售货机Designandimplement

2、ationofvendingmachineElectronicsandInformationScienceandTechnologyCandidate:JiangHuiAdvisor:CaiJianhuaAbstract:ThisarticleintroducesthecharacteristicsandapplicationofVHDL,withaexampleofdesigningvendingmachines,describesdetailedlytheimplementationprocess.Thesystemusesthe

3、VHDLlanguage,realizingeveryfunctionusesstatemachines,applyingMAXPLUSⅡtosimulateinordertorealizethefunctioneverymodules.ThisarticledescribestheuseofVHDLlanguageandMAXPLUSⅡsimulation,toachievethevendingmachine'sbasicfunctions,aschoosegood,coin,givechange,buygoodmorethanon

4、etime,choosemorethanonegoodinonetime,display,andsoon.Thisarticlegivestheoriginalprogramofeverymodulesandthesimulatingdiagramofeverymodulesandthecombinationofallofthemodules,givesdetailanalyseofeverysimulatingdiagramaswell.Keywords:VHDLlanguage,MAXPLUSⅡ,Vendingmachine引言随

5、着科技的发展和社会的进步,自动化商业机械有了越来越广泛的应用,自动售货机作为自动化商业机械的代表被广泛用于公共场所自动售货,给人们的生活带来了极大的方便。特别在发达国家,自动售货机已经十分普及,自动售货机可售出各种成型包装小商品,各种袋装,盒装,软瓶装等小商品。因其无需专人值守而可以每天24小时售货,被称为“无人小超市”。在我国,由于多种原因,自动售货机的市场推广缓慢,处于培育阶段,但可以预见,这种方便快捷的服务形式因其适合现代生活快节奏的需要,在不久的将来必会获得大众的喜爱。随着商品市场的不断繁荣,自动售货机和自动服务设施必将逐步得

6、到广泛的应用。本文设计研究自动售货机的主要功能模块,主要包括货币处理模块、余额计算模块、显示模块,延时和时控模块,由于条件上的限制,对投币模块中的货币检测未进行处理。相应的主要功能有选择货物,多次购买,出货找零,显示,延时和时控功能。本文是通过使用VHDL语言,基于FPGA可编程芯片,并通过使用MAXPLUSⅡ软件进行程序设计,模拟仿真,功能验证,以更好的来理解和掌握自动售货机控制系统的工作原理和设计方法,以及学习和掌握基于EDA的电子产品设计方法,进一步加深对VHDL语言以及MAXPLUSⅡ软件、FPGA可编程芯片的认识与了解。更深

7、入的学习EDA技术,更好地掌握本专业知识。1本论概述本文设计的自动售货机是基于VHDL[1](VeryHighSpeedIntegratedCircuitHard-wareDescriptionLanguage,超高速集成电路硬件描述语言)语言,使用MAXPLUSⅡ软件进行模拟仿真,在FPGA(FieldProgrammableGateArry,现场可编程门阵列)可编程芯片上实现的一款EDA(ElectronicDesignAutomation,电子设计自动化)技术的产品。1.1系统的工作原理本文设计的自动售货机有两种饮料可以出售,售

8、价分别为2元和3元。售货机可以识别1元,5元两种货币。如果投入金额总值等于或超过售价就可以将饮料放出,并具有相应的找零钱功能。(1)用户可多次选择购买的饮料种类,某次饮料种类选定后等待投币。如等待时间超过10秒钟,则认为

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。