第四章 VHDL基础a3答辩ppt课件.ppt

第四章 VHDL基础a3答辩ppt课件.ppt

ID:58672490

大小:748.00 KB

页数:62页

时间:2020-10-05

第四章 VHDL基础a3答辩ppt课件.ppt_第1页
第四章 VHDL基础a3答辩ppt课件.ppt_第2页
第四章 VHDL基础a3答辩ppt课件.ppt_第3页
第四章 VHDL基础a3答辩ppt课件.ppt_第4页
第四章 VHDL基础a3答辩ppt课件.ppt_第5页
资源描述:

《第四章 VHDL基础a3答辩ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章 VHDL基础VHDL结构VHDL语法基础VHDL常用语句硬件描述语言简介4.1硬件描述语言HDL简介常用硬件描述语言有:VHDL—源于美国国防部的VHSIC(超高速集成电路硬件描述语言)4.1.1、常用硬件描述语言HDL(HardwareDescriptionLanguage),为硬件描述语言。HDL是用文本的形式描述硬件电路的功能、信号连接关系以及时序关系。功能更强,可以进行大规模,多个芯片的数字系统的设计。Verilog—源于集成电路(ASIC)的(仿真)设计ABEL—则来源于可编程逻辑器件的设计。4.1.2、VHDL和V

2、erilog硬件描述语言1)VHDL语言及特点VHDL是IEEE标准语言,其特点如下:(1)在硬件设计时,可以分层进行描述;(2)每个需要设计的元件都有完善的定义接口(连接到其他元器件的电路),有精确的仿真性能指标;(3)性能指标既可以用算法定义,也可以用硬件结构定义器件的操作实现;(4)VHDL语言具有并行性,定时和时钟方式都可以建模,处理同步时序逻辑电路的方式解决异步电路。(5)VHDL语言所设计的逻辑电路和定时都可以仿真。2)VerilogHDL语言及特点(1)VerilogHDL语言是在C语言基础上发展的一种硬件描述语言,也是

3、IEEE标准语言。(2)VerilogHDL语言的特点:保留了C语言简洁、高效的编程风格,继承了C语言的一些算法和结构。提供了扩展的建模能力,可用于门级、算法级和开关级的多种设计层次的数字系统建模。3)VHDL和VerilogHDL语言的比较(1)VHDL语言的规则严谨,有的语法可以编译和仿真,但不能综合,因为综合是对特定器件进行的。(2)VerilogHDL语法宽松,有时对同一个设计在不同的EDA平台上可能会出现不同的结果。VHDL和VerilogHDL都是IEEE标准的硬件描述语言4.2 VHDL结构库(Library)程序包(P

4、ackage)实体(Entity)结构体(Architecture)配置(Configuration)VHDL是用结构化程序设计原理构成的一种语言,借用了Pascal语言的设计思想。每个VHDL文件整体结构为实体说明和结构体两部分。1、实体说明定义硬件模块接口,隐藏模块内部的详细信息,简化成定义模块输入输出的说明。2、结构体是模块内部结构和行为的详细说明。4.2.1VHDL基本组成实体结构体配置程序包库一个完整的VHDL程序VHDL设计文件的两个基本组成部分结构体用于描述系统的内部电路配置用于从库中选取所需元件安装到设计单元的实体中程

5、序包存放各设计模块能共享的数据类型、常数、子程序等库用于存放已编译的实体、结构体、包集合和配置实体部分描述设计系统的外部接口信号(即输入/输出信号)--以下为两输入与门的VHDL代码libraryieee;useieee.std_logic_1164.all;entityand2isport(a,b:inbit;y:outbit);endand2;architecturea1ofand2isbeginy<=aandb;enda1;--每条语句以分号结束程序包实体结构体库and2.vhd在QuartusII中,该程序的文件名与实体名一致

6、。实体部分结构体部分4.2.2VHDL基本组成的实例4.2.3库和程序包的语法:library<设计库名>;use<设计库名>.<程序包名>.all;一般VHDL代码中库和程序包的调用语句:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_signed.all;useieee.std_logic_unsigned.all;--计数器常用主要包含程序包和其它支持工业标准的程序包。为设计人员最常用和最重要的程序包,它主要

7、定义了常用的数据类型和函数。4.2.4实体实体作为一个设计对象(独立的电路功能结构)的组成部分,其功能是对这个设计对象与外部电路进行接口描述,是设计对象对外的一个通信界面。实体的语句格式:entitye_nameisport(p_name:port_mdata_type;...p_namei:port_mdata_type);ende_name;entity实体名isport(a:inbit;b:inbit;y:outbit);end实体名;端口名数据类型端口模式aby?ENTITY、IS、END是VHDL的关键字(保留字)。实体中的

8、每一个I/O信号被称为端口,其功能对应于电路图符号的一个引脚。端口说明则是对一个实体的端口的定义,即对基本设计实体与外部接口的描述。端口是设计实体和外部环境动态通信的通道。端口模式有以下四种类型:(方框代表一个设计实体)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。