第5章 VHDL设计技术深入ppt课件.ppt

第5章 VHDL设计技术深入ppt课件.ppt

ID:58700294

大小:470.50 KB

页数:85页

时间:2020-10-04

第5章 VHDL设计技术深入ppt课件.ppt_第1页
第5章 VHDL设计技术深入ppt课件.ppt_第2页
第5章 VHDL设计技术深入ppt课件.ppt_第3页
第5章 VHDL设计技术深入ppt课件.ppt_第4页
第5章 VHDL设计技术深入ppt课件.ppt_第5页
资源描述:

《第5章 VHDL设计技术深入ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术及其应用第5章VHDL设计技术深入5.1深入讨论数据对象5.1.1常数常量的定义和设置主要为了使程序更容易阅读和修改。CONSTANT常数名:数据类型:=表达式;第1句定义常数FBT的数据类型是STD_LOGIC_VECTOR,它等于“010110”;第2句定义常数DATAIN的数据类型是整数INTEGER,它等于15。常量的定义位置和“可视”范围。见课本p151CONSTANTFBT:STD_LOGIC_VECTOR:="010110";--标准位矢类型CONSTANTDATAIN:INTEGER:=15;--整数类型在VHDL中,数据对象有三类:变量(VARIABL

2、E),常量(CONSTANT),信号(SIGNAL)5.1深入讨论数据对象5.1.2变量变量是一个局部量,只能在进程和子程序中定义和使用。VARIABLE变量名:数据类型:=初始值;VARIABLEa:INTEGERRANGE0TO15;--变量a定义为常数,取值范围是0到5VARIABLEd:STD_LOGIC:=‘1’;--变量a定义为标准逻辑位类型,初始值是1VARIABLEx,y:INTEGERRANGE15DOWNTO0;--定义变量x和y为整数类型VARIABLEa,b:STD_LOGIC_VECTOR(7DOWNTO0);x:=11;y:=2+x;--运算表达式赋值

3、,y也是实数变量a:=b--b向a赋值a(0TO5):=b(2TO7);目标变量名:=表达式;5.1深入讨论数据对象5.1.3信号SIGNAL信号名:数据类型:=初始值;SIGNALa,b,c,y,z:INTEGER;...PROCESS(a,b,c)BEGINy<=a+b;z<=c–a;y<=b;ENDPROCESS;目标信号名<=表达式AFTER时间量;信号的赋值既可以出现在进程中,也可以出现在结构体的并行语句中。在进程中属于顺序信号赋值,如果对同一信号多次赋值,只有最后一次赋值生效;在结构体中属于并行信号赋值,这时,不允许对同一个信号进行多次赋值。5.1深入讨论数据对象5.

4、1.4进程中的信号与变量赋值表5-1信号与变量赋值语句功能的比较信号SIGNAL变量VARIABLE基本用法用于作为电路中的信号连线用于作为进程中局部数据存储单元适用范围在整个结构体内的任何地方都能适用只能在所定义的进程中使用行为特性在进程的最后才对信号赋值立即赋值5.1深入讨论数据对象5.1.4进程中的信号与变量赋值【例5-1】...ARCHITECTUREbhvOFDFF3ISBEGINPROCESS(CLK)VARIABLEQQ:STD_LOGIC;BEGINIFCLK'EVENTANDCLK='1'THENQQ:=D1;ENDIF;ENDPROCESS;Q1<=QQ;EN

5、D;5.1深入讨论数据对象5.1.4进程中的信号与变量赋值【例5-2】...ARCHITECTUREbhvOFDFF3ISSIGNALQQ:STD_LOGIC;BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENQQ<=D1;ENDIF;ENDPROCESS;Q1<=QQ;END;5.1深入讨论数据对象LIBRARYieee;USEieee.std_logic_1164.all;ENTITYdffISPORT(d,clk:INSTD_LOGIC;q:BUFFERSTD_LOGIC;qbar:OUTSTD_LOGIC);ENDdff;ARC

6、HITECTUREtwo_dffOFdffISBEGINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENq<=d;--生成了一个触发器qbar<=NOTd;--生成了另一个触发器ENDIF;ENDPROCESS;ENDtwo_dff;5.1深入讨论数据对象LIBRARYieee;USEieee.std_logic_1164.all;ENTITYdffISPORT(d,clk:INSTD_LOGIC;q:BUFFERSTD_LOGIC;qbar:OUTSTD_LOGIC);ENDdff;ARCHITECTUREtwo_dffOFdffISBE

7、GINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENq<=d;--生成了一个触发器ENDIF;ENDPROCESS;qbar<=NOTd;--使用了一个反相器,没有触发器ENDtwo_dff;5.1深入讨论数据对象5.1.4进程中的信号与变量赋值【例5-3】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDFF3ISPORT(CLK,D1:INSTD_LOGIC;Q1:OUTSTD_LOGIC);

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。