第4讲 VHDL的语法要素 EDA课件.ppt

第4讲 VHDL的语法要素 EDA课件.ppt

ID:58700417

大小:677.00 KB

页数:65页

时间:2020-10-04

第4讲  VHDL的语法要素 EDA课件.ppt_第1页
第4讲  VHDL的语法要素 EDA课件.ppt_第2页
第4讲  VHDL的语法要素 EDA课件.ppt_第3页
第4讲  VHDL的语法要素 EDA课件.ppt_第4页
第4讲  VHDL的语法要素 EDA课件.ppt_第5页
资源描述:

《第4讲 VHDL的语法要素 EDA课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4讲VHDL的语法要素4.1VHDL的词法元素4.2VHDL的数据对象4.3VHDL的数据类型4.4VHDL的操作符§4.1VHDL的词法元素词法元素指不可以拆分为其他更小元素的字符串,它是VHDL中的最小单位。VHDL设计文件可认为是由词法元素的序列和分隔符组成的。VHDL中的词法元素的类型有分界符、标识符、注释和字符文字。词法元素必须完全处于同一行中。下面分别进行介绍。§4.1.1分界符相邻的词法元素通过任意数量的分界符分开,在某些情况下,当两个词法元素连写会被当作一个词法元素时,两个词法元素之间必须加分隔符。常用空格来区分同一个语句中的两个词法元素,例如“ENTITYadderIS

2、”。在一个完整的语句末尾,必须用“;”表示语句的结束。§4.1.2标识符标识符规则是VHDL中符号书写的一般规则,用以表示VHDL语句中的变量、块、进程等对象和关键词。VHDL有两个标识符标准,分别是VHDL’87标准和VHDL’93标准。VHDL’93标准规定的标识符也称为扩展标识符,VHDL’87标准规定的标识符称为短标识符。1.短标识符VHDL中的短标识符是遵守以下规则的字符序列:(1)短标识符由有效字符构成。有效字符为大、小写英文字母(A~Z,a~z)、数字(0~9)和下划线(_)。(2)短标识符必须以英文字母打头。(3)短标识符中的下划线前后必须都有英文字母或数字。(4)短标识符

3、不区分大、小写。规定所有关键词不能由用户声明为对象名。VHDL’87标准规定的关键词如下:举例说明:如下所示是合法的标识符:COUNTcoutC_OUTBB2_5CVHSICWTFFTDecoderA_B_CxyZh333STORE_NEXTITEM如表4.1所示是不合法的标识符及其错误原因。表4.1非法的标识符及其错误原因非法标识符错误原因My-name含有非法符号“-”H¥B含有非法符号“¥”LOOP为VHDL关键词_ABC第一个字符不是英文字母Decoder_下划线后没有字母或数字A_ _C含有连续两个下划线,每个下划线前后方都不完全是字母或数字alDha2含有非法的空格ABAC含有

4、非法的空格2.扩展标识符扩展标识符具有以下特性:(1)扩展标识符两端由反斜杠确定。例如,“valid”、“_ABC”等都是合法的扩展标识符。(2)扩展标识符中间允许包含图形符号和空格符。例如,“&MyName”、“$l0ms”、“*L50ms”等都是合法的扩展标识符。(3)反斜杠之间的字符可以用保留字。例如,“1abel”、“PORT”和“enitiy”等都是合法的扩展标识符。(4)每两个反斜杠之间可以用数字打头。例如,“12mspulse”、“20_puls”和“50”都是合法的扩展标识符。(5)扩展标识符允许多个下划线相连。例如,“A_B

5、”、“my_projects”等都是合法的扩展标识符。(6)扩展标识符区分大小写。例如,“CLK”与“clk”分别表示两个不同的标识符。(7)扩展标识符与短标识符不同。例如,“CLK”与“CLK”分别表示两个不同的标识符。 (8)实体名一般不使用扩展标识符。§4.1.3注释注释是用分界符“--”开头,必须放在一行语句末的词法元素。它可以跟在一行之中的合法词法元素之后,也可以是该行唯一的词法元素。注释的内容不影响编译器和仿真程序,其目的是为了增加程序的可读性。注释中可包括所有特殊字符。表4.2所示的是注释的一些例子。表4.2注释语句示例注释格式解释--注释语句……该行只有一句

6、注释C<=A;--注释语句……注释词法元素在VHDL赋值语句之后--较长的注释语句第一行……--较长的注释语句第二行……--……--较长的注释语句最后一行……对于较长的注释,可以采用分行注释的方法,为便于阅读,每行的分界符要对齐§4.1.4字符文字字符文字用来指定用于标量对象初始化的常量值,包括单个字符文字、字符串文字、位串文字和数字。1.单个字符文字单个字符文字是仅包括一个字符的词法元素,其格式为在两个撇号之间插入一个字符。该字符可以是任何字符,包括空格和特殊符号。以下是单个字符文字的例子:‘A’,‘B’、‘!’、‘1’、‘0’、‘‘ 字符可用来定义一个新的数据类型。2.字符串文字字符串

7、文字是在两个引号之间插入一串可显示字符而得到的词法元素。一个字符串文字的长度指的是串中字符的个数(将双引号记为一个字符)。 字符串主要用来作注释或信息提示。举例说明:"VHDLstudy"长度为10的字符串,包括一个空格""长度为0的字符串,不包括任何内容"A"长度为1的字符串,与‘A’不同"""长度为1,包括一个引号"$,#,

8、"长度为5的字符串,包含特殊字符$、#和

9、字符串文字词法元素必须写在一行中,长度超过一行的串

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。