第4章组合逻辑电路ppt课件.ppt

第4章组合逻辑电路ppt课件.ppt

ID:58700535

大小:1.60 MB

页数:121页

时间:2020-10-04

第4章组合逻辑电路ppt课件.ppt_第1页
第4章组合逻辑电路ppt课件.ppt_第2页
第4章组合逻辑电路ppt课件.ppt_第3页
第4章组合逻辑电路ppt课件.ppt_第4页
第4章组合逻辑电路ppt课件.ppt_第5页
资源描述:

《第4章组合逻辑电路ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章组合逻辑电路4.1概述4.2组合逻辑电路的分析4.3组合逻辑电路的设计4.4常用MSI组合逻辑器件4.5用中规模集成电路设计组合电路4.6组合逻辑电路中的竞争与冒险学习要点:组合电路的分析方法和设计方法利用数据选择器和可编程逻辑器件进行       逻辑设计的方法加法器、编码器、译码器等中规模集成电路的逻辑功能和使用方法组合电路:输出仅由输入决定,与电路当前状态无关;电路结构中无反馈环路(无记忆)4.1概述4.2组合逻辑电路的分析所谓逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,并指出电路的逻辑功能。分析过程

2、一般按下列步骤进行:①根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。②根据输出函数表达式列出真值表。③用文字概括出电路的逻辑功能。逻辑图逻辑表达式11最简与或表达式化简22从输入到输出逐级写出最简与或表达式3真值表34电路的逻辑功能当输入A、B、C中有2个或3个为1时,输出Y为1,否则输出Y为0。所以这个电路实际上是一种3人表决用的组合电路:只要有2票或3票同意,表决就通过。4逻辑图逻辑表达式例4-1:最简与或表达式真值表用与非门实现电路的输出Y只与输入A、B有关,而与输入C无关。Y和A、B的逻辑关系为

3、:A、B中只要一个为0,Y=1;A、B全为1时,Y=0。所以Y和A、B的逻辑关系为与非运算的关系。电路的逻辑功能【例4-2】分析图4-2所示组合逻辑电路的逻辑功能。解:根据给出的逻辑图,逐级推导出输出端的逻辑函数表达式:表4-1例4-1真值表ABCF00000101001110010111011100010111由真值表可以看出,在三个输入变量中,只要有两个或两个以上的输入变量为1,则输出函数F为1,否则为0,它表示了一种“少数服从多数”的逻辑关系。因此可以将该电路概括为:三变量多数表决器。【例4-3】分析图4-3(a)所示电路

4、,指出该电路的逻辑功能。图4-3例4-2电路(a)一位全加器;(b)一位全加器符号解:①写出函数表达式。②列真值表。AiBiCiCi+1Si0000010100111001011101110001011001101011表4-2例4-2真值表③分析功能。由真值表可见,当三个输入变量Ai、Bi、Ci中有一个为1或三个同时为1时,输出Si=1,而当三个变量中有两个或两个以上同时为1时,输出Ci+1=1,它正好实现了Ai、Bi、Ci三个一位二进制数的加法运算功能,这种电路称为一位全加器。其中,Ai、Bi分别为两个一位二进制数相加的

5、被加数、加数,Ci为低位向本位的进位,Si为本位和,Ci+1是本位向高位的进位。一位全加器的符号如图4-3(b)所示。如果不考虑低位来的进位,即Ci=0,则这样的电路称为半加器,其真值表和逻辑电路分别如表4-3和图4-4所示。表4-3半加器真值表AiBiCi+1Si0001101100010110图4-4半加器4.3组合逻辑电路的设计工程上的最佳设计,通常需要用多个指标去衡量,主要考虑的问题有以下几个方面:①所用的逻辑器件数目最少,器件的种类最少,且器件之间的连线最简单。这样的电路称“最小化”电路。②满足速度要求,应使级数尽量少

6、,以减少门电路的延迟。③功耗小,工作稳定可靠。上述“最佳化”是从满足工程实际需要提出的。显然,“最小化”电路不一定是“最佳化”电路,必须从经济指标和速度、功耗等多个指标综合考虑,才能设计出最佳电路。组合逻辑电路可以采用小规模集成电路实现,也可以采用中规模集成电路器件或存储器、可编程逻辑器件来实现。虽然采用中、大规模集成电路设计时,其最佳含义及设计方法都有所不同,但采用传统的设计方法仍是数字电路设计的基础。因此下面先介绍采用设计的实例。组合逻辑电路的设计一般可按以下步骤进行:①逻辑抽象。将文字描述的逻辑命题转换成真值表叫逻辑抽

7、象,首先要分析逻辑命题,确定输入、输出变量;然后用二值逻辑的0、1两种状态分别对输入、输出变量进行逻辑赋值,即确定0、1的具体含义;最后根据输出与输入之间的逻辑关系列出真值表。②选择器件类型。根据命题的要求和器件的功能及其资源情况决定采用哪种器件。例如,当选用MSI组合逻辑器件设计电路时,对于多输出函数来说,通常选用译码器实现电路较方便,而对单输出函数来说,则选用数据选择器实现电路较方便。③根据真值表和选用逻辑器件的类型,写出相应的逻辑函数表达式。当采用SSI集成门设计时,为了获得最简单的设计结果,应将逻辑函数表达式化简,并变

8、换为与门电路相对应的最简式。④根据逻辑函数表达式及选用的逻辑器件画出逻辑电路图。真值表电路功能描述例4-4:设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。