交通信号控制器VHDL设计.doc

交通信号控制器VHDL设计.doc

ID:58729974

大小:112.50 KB

页数:4页

时间:2020-10-01

交通信号控制器VHDL设计.doc_第1页
交通信号控制器VHDL设计.doc_第2页
交通信号控制器VHDL设计.doc_第3页
交通信号控制器VHDL设计.doc_第4页
资源描述:

《交通信号控制器VHDL设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、北华航天工业学院综合实践总结报告综合实践名称:EDA技术与实践综合实践地点、时间交通信号灯控制目录1.设计任务2.实验目地3.原理图4.程序5.心得体会交通信号控制器的VHDL的设计一、设计任务模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,用数码管显示等待时间。设计一个交通信号灯控制器。能达到的要求:(1)交通灯从绿变红时,有5秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为30秒,支干道的绿灯时间为20秒;(4)在任意时间,显示等待时间。支干道主

2、干道图1路口交通管理示意图ABCD主干道交通灯绿(30秒)黄(5秒)红(20秒)红(5秒)支干道交通灯红红绿黄表1交通信号灯的4种状态二、实验目的学习EDA开发软件quartusII的使用方法,熟悉可编程逻辑器件的使用。三、原理图四、试验程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitymux4_1isport(clk:instd_logic;ql1,qh1,ql,qh

3、:instd_logic_vector(3downto0);sel:bufferstd_logic_vector(2downto0);y:outstd_logic_vector(3downto0));endmux4_1;architectureoneofmux4_1isbeginprocess(qh1,ql1,qh,ql,sel)beginifsel="000"theny<=qh1;elsifsel="001"theny<=ql1;elsifsel="010"theny<=qh;elsifsel="011"theny<=ql;e

4、ndif;endprocess;process(clk,sel)beginif(clk'eventandclk='1')thenifsel="011"thensel<="000";elsesel<=sel+"001";endif;endif;endprocess;endone;五心得体会通过这次课设,我深深地感受到,要把知识真正掌握到手里,在理论的基础上,还必须要有更深一步的实践。通过大作业的实践,把那些书本上的知识点都运用起来,去真正地做点东西出来,远比死记硬背理论知识更有意义。从刚开始的毫无头绪,无从下手,到之后积极查找资料

5、,与组员讨论,再到后来着手设计,划分模块,编写代码,编译仿真,纠错反思。这样一步步脚踏实地迈向成功的感觉真的不错。以后,我会继续用VHDL去做一些自己感兴趣的东西,慢慢积累,慢慢地争取往更高的目标发展。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。