EDA技术课程设计报告-LED点阵汉字显示.doc

EDA技术课程设计报告-LED点阵汉字显示.doc

ID:61005162

大小:614.00 KB

页数:31页

时间:2021-01-19

EDA技术课程设计报告-LED点阵汉字显示.doc_第1页
EDA技术课程设计报告-LED点阵汉字显示.doc_第2页
EDA技术课程设计报告-LED点阵汉字显示.doc_第3页
EDA技术课程设计报告-LED点阵汉字显示.doc_第4页
EDA技术课程设计报告-LED点阵汉字显示.doc_第5页
资源描述:

《EDA技术课程设计报告-LED点阵汉字显示.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、EDA技术课程设计报告题目:LED点阵汉字显示姓名学号班级指导教师2012年1月12日要求:1.指导教师按照课程设计大纲要求完成学生课程设计指导工作。2.课程设计任务书由指导教师照大纲要求填写,内容要全面。3.课程设计报告由参加本学生填写。课程设计结束时交指导教师。4.指导教师要根据每一位学生课程设计任务完成情况,认真审核设计报告,并在课程设计结束时,给出客观、准确的评语和成绩。5.课程设计任务书和报告要语言流畅,图表正确规范。课程设计任务书班级姓名学号课程设计题目LED点阵汉字显示课程设计起止日期2011年12月26日至2012年1月13日实习地点实验楼308课程设计内容与要求设计内容:

2、LED点阵汉字显示要求:1、及格:在实验箱上16×16点阵模块上显示汉字“电”。2、中:设置不同的清屏方式(上下左右)。3、良:滚动显示汉字“电”。4、优:滚动显示“电子信息工程”。指导教师2011年12月26日一、设计原理与技术方法:1.LED点阵显示原理16×16扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯,所以其扫描译码地址需4位信号线(SEL0-SEL3),其汉字扫描码由16位段地址(L0-L15)输入。通过时钟的每列扫描显示完整汉字。首先,SEL3-SEL0从状态“0000”按位加1一直到“1111”的过程中点阵从第1

3、列一直扫描到第16列;L0到L15依次对应第1行到第16行的点阵。因为列点阵为共阴极,因此若SEL3-SEL0为“0000”,而L0接高电平,L1-L15均接低电平,则点阵屏幕上第一点亮。比如要实现第一列全亮的效果,则SEL给“0000”,L0-L15均接上高电平即可,而要实现第一行全亮,则就要用到动态扫描的概念:首先L0接“1”,L1-L15均接“0”,而SEL3-SEL0从“0000”按位加1一直到“1111”并循环变化,或者从“1111”按位减1一直到“0000”并循环变化,只要变化的足够快,由于视觉暂留则会看到第一行均亮。有了上面的说明,现在以汉字“电”的显示来说明点阵扫描显示的过

4、程。首先将“电”字编码用软件获取,推荐一款小巧而功能强大的软件PCTOLED,此软件可以绘出M×N任意大小的文字,并且支持宋体、楷体等多种字体。图1是用此软件绘出的汉字“电”以及其生成的“电”字编码(具体用法见软件“设置”中的说明),即便不懂软件用法也可按汉字占的格数算出汉字的编码。现将SEL3-0接上一个16进制计数器,计数器输入为时钟信号,计数值随时钟变化,对应SEL3-SEL0从“0000”变化到“1111”时对应L0-L15的值见表1。只需时钟足够快,则可在点阵屏幕上看到“电”字。课程设计报告注:此表可加附页图1PCtoLCD生成汉字“字”及其编码表1SEL与L对应关系SEL3-S

5、EL0L0-L150000"00000"0001"00000"0010"11000"0011"01000"0100"01000"0101"01000"0110"01000"0111"11111"1000"01000"1001"01000"1010"01000"1011"01000"1100"11000"1101"00000"1110"00000"1111"00000"2.“电”字显示原理及各模块工作原理2.1“电”字显示原理图“电”字显示原理图如图2.1所示。图2.1“电”显示原理图其中CLK接时钟信号,LIE[15..0]接L15—L0,SEL[3..0]接sel3—sel0。根据前面提

6、到的原理可知,要在点阵屏幕上显出“电”字,只需sel端接16进制计数器,因此需要计数器模块。当sel为不同值时对应不同的L值,因此还需有数据选择模块。2.2计数器模块工作原理(1)VHDL代码此模块VHDL代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCOUNT16IS--定义实体:16进制减法计数器PORT(CLK:INSTD_LOGIC;--定义端口:输入为CLK,输出为位矢量selsel:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDENTITYC

7、OUNT16;ARCHITECTUREBEHVOFCOUNT16IS--定义结构体SIGNALCQI:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK)--定义进程,上升沿触发BEGINIF(CLK'EVENTANDCLK='1')THENCQI<=CQI-1;--从右向左扫描ENDIF;sel<=CQI;ENDPROCESS;ENDARCHITECTUREBEHV;其中输入为CLK

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。