EDALED点阵显示屏控制系统设计.doc

EDALED点阵显示屏控制系统设计.doc

ID:61905279

大小:16.76 MB

页数:21页

时间:2021-03-26

EDALED点阵显示屏控制系统设计.doc_第1页
EDALED点阵显示屏控制系统设计.doc_第2页
EDALED点阵显示屏控制系统设计.doc_第3页
EDALED点阵显示屏控制系统设计.doc_第4页
EDALED点阵显示屏控制系统设计.doc_第5页
资源描述:

《EDALED点阵显示屏控制系统设计.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、--《EDA技术与应用》课程设计报告题目:LED点阵显示屏控制系统设计院(系):机电与自动化学院专业班级:自动化学生:学号:2014指导教师:2017年6月19日至2017年6月23日*******--优质---《EDA技术及应用》课程设计任务书一、设计题目LED点阵显示屏控制系统设计二、设计主要容(1)控制要求本课程设计要求根据输入指令要求对16*16点阵的LED显示屏进行显示控制,在控制过程中以EP3C10E144C8为主控芯片,利用译码芯片进行行扫描控制,同时输出字型码进行显示控制。①根据控制要求,编写VHDL程序实现LED点阵屏控制功能;②使用字库转换软件实现汉字字型码的转

2、换。③要求能够实现名字及学号的现示,要求能够实现字符不同的移动显示方向,能够实现不同的显示速度(时钟频率不变)。(2)设计要求①设计FPGA的基础工作电路;②设计LED点阵屏,译码电路,驱动电路及FPGA芯片的硬件电路连接;③程序设计及仿真调试;④程序下载并进行硬件调试(3)撰写说明书①含系统硬件设计电路;②含软件设计(程序及必要的说明);③含软件仿真及生成的顶层文件,含代码生成的硬件电路;--优质---④调试及调试结果,以及在调试过程中出现的问题及解决办法。--优质---三、原始资料(1)16*16点阵LED屏可显示数字及汉字,对于点阵,在给出字型码数据的同时给出行扫描信号,通过

3、控制给出数据的速度,结合人体的视觉效应可以使点阵屏稳定显示字符;(2)本系统控制的还有译码电路及驱动电路。通过输出译码数据,可以调整显示字符的移动方向。(3)在控制过程中,可以外接控制开关控制字符显示方式;(4)EP3C10E144C8芯片只能输出3.3V电压,对于16个LED液晶灯的驱动是不够的,因此必须有外接驱动电路提供足够的驱动能力。驱动点阵屏显示根据输入信号产生点阵屏的控制数据控制信号驱动电路CLK译码电路--优质---四、要求的设计成果在QuartusII软件中新建原理图文件,编译,仿真,锁定管脚并下载到目标芯片。(1)根据设计要求,设计系统的原理框图,说明系统中各主要组

4、成部分的功能;(2)在QuartusII软件中,编写各个模块VHDL源程序;并上机调试通过;(3)根据软件编好用于系统仿真的测试文件;(4)编好用于硬件验证的管脚锁定文件;(5)记录系统各个模块仿真结果;(6)记录调试过程中出现的问题及解决办法。注意:基本要求学生必须完成,学有余力的学生可以在基本要求完成的前提下,选择其它方案完成设计。一般来说,生成的硬件电路越简单考查评价就越高。五、进程安排序号课程设计容学时分配备注1集中学生学习课程设计的关键理论知识、分配设计任务、明确设计要求、查找资料等。0.5天2根据任务的要求进行方案构思,初选方案,绘制系统原理框图并与指导教师讨论,方案定

5、稿。0.5天3完成各模块的VHDL程序设计、编译和时序仿真2天4编程、下载,结合硬件平台,进行调试。完成顶层文件图绘制,对编制好的文件交给老师检查,并按照老师要求修改。1天5撰写课程设计说明书0.5天6答辩及验收课程设计0.5天合计5天--优质---六、主要参考资料[1]侯伯享.VHDL硬件描述语言与数字逻辑电路设计.:电子科技大学出版,2010[2]松.EDA技术实用教程.:电子科技大学,2010[3]玉山.电子系统集成设计技术.:电子工业,2010.6.[4]国丽.EDA与数字系统设计.:机械工业,2009[5]周彩宝.VHDL语言及其应用.:华东计算机技术研究所:2009[6

6、]谭会生.EDA技术中和应用实例与分析.:电子科技大学,2008指导教师(签名):20年月日--优质---摘要:我国经济正处于发展的高峰期,也需要广大的公共场合信息公示平台,而利用LED点阵滚动显示正好符合情况,且这种方式已经成为信息传递的一种重要手段。因此,在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字甚至图案的动态显示。在不同的应用场合,点阵的设计要求也是不同的。传统思路一般是应用单片机实现点阵控制,但该方法有一定的局限性。该次课程设计主要研究利用VHDL语言编程来设计汉字的显示。首先描述相应的设计电路;然后叙述在16*16矩阵显示汉字的原理;最后给出描述

7、功能的VHDL设计语言。并通过编程、调试、仿真、下载正确实现汉字滚动、扫描显示结果。关键词:LED点阵;FPGA;VHDL语言;汉字滚动显示。--优质---目录1.实验要求及总体方案11.1实验要求11.2扫描显示11.3滚动显示12.LED点阵显示原理12.1LED点阵原理12.2汉字取模23.扫描显示33.1设计基本原理33.2计数器设计33.3列驱动设计43.4行驱动设计44.仿真图原理图及实物图44.1仿真图44.2原理图54.3实物图65.程序7参考文献:1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。