篮球比赛数字记分牌

篮球比赛数字记分牌

ID:11035733

大小:206.50 KB

页数:11页

时间:2018-07-09

篮球比赛数字记分牌_第1页
篮球比赛数字记分牌_第2页
篮球比赛数字记分牌_第3页
篮球比赛数字记分牌_第4页
篮球比赛数字记分牌_第5页
资源描述:

《篮球比赛数字记分牌》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、设计题目篮球比赛记分牌设计要求用PLD器件EP1K10TC100-3及7段译码显示数码管,设计一个篮球比赛记分牌,具体要求如下:1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;2、记分牌要具有纠错功能,能减1分、2分功能;3、利用3个译码显示管输出比赛的分;设计过程(包括:设计方案`上机设计与仿真结果`硬件实验方案,及实验结果`收获`和体会)EDA技术以硬件描述语言来描述系统级设计,采用自顶向下的设计方法,并支持系统仿真和高层综合。VHDL语言具有具有很强的行为描述能力和多层次描述硬件功能的能力,是系统设计领域中使用最多的

2、硬件描述语言之一;具有标准、规范等优势,能在设计的各个阶段对电路系统进行仿真和模拟,使设计者在系统的设计早期就能检查设计系统的功能,极大的减少了可能发生的错误,减少了开发成本。设计方案:利用一个D触发器,3个4位二进制全加器,一个二选一数据选择器,3个七段译码显示管组成电路,此电路具有加减、复位、显示等功能。能够满足比赛的实际要求。评定成绩指导教师评语课程设计等级10目录1课程设计题目`内容与要求………………………1.1设计内容1.2具体要求2系统设计…………………………2.1设计思路2.2系统原理3系统实现…………………………………

3、…………4系统仿真……………………………………………5硬件验证(操作)说明………………………………6总结…………………………………………………7参考书目……………………………………………10一、课程设计题目、内容与要求1.1课程设计的题目:篮球比赛记分牌1.2课程设计内容:1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分;2、记分牌要具有纠错功能,能减1分、2分功能;3、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路

4、原理框图如下:2.2系统原理与设计说明系统各个模块的功能如下:101、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。2、4为二进制全加器电路模块实现加法计数功能。3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。4、二选一数据选择器电路模块用来控制移位寄存器5、LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。libraryieee;useieee.std_logic

5、_1164.all;entitysync_rsdffisport(d,clk:instd_logic;set:instd_logic;reset:instd_logic;q,qb:outstd_logic);endsync_rsdff;architecturertl_arcofsync_rsdffisbeginprocess(clk)beginif(clk'eventandclk='1')thenif(set='0'andreset='1')then10q<='1';qb<='0';elsif(set='1'andreset='0')

6、thenq<='0';qb<='1';elseq<=d;qb<=notd;endif;endif;endprocess;endrtl_arc;2、移位寄存器模块电路及程序:libraryIEEE;useIEEE.std_logic_1164.all;entityshft_regisport(DIR:instd_logic;CLK:instd_logic;CLR:instd_logic;SET:instd_logic;CE:instd_logic;LOAD:instd_logic;SI:instd_logic;DATA:instd_lo

7、gic_vector(3downto0);data_out:outstd_logic_vector(3downto0));endshft_reg;architectureshft_reg_archofshft_regissignalTEMP_data_out:std_logic_vector(3downto0);beginprocess(CLK)10beginifrising_edge(CLK)thenifCE='1'thenifCLR='1'thenTEMP_data_out<="0000";elsifSET='1'thenTEMP

8、_data_out<="1111";elsifLOAD='1'thenTEMP_data_out<=DATA;elseifDIR='1'thenTEMP_data_out<=SI&TEMP_data_out(3downt

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。