《eda技术》实验指导书

《eda技术》实验指导书

ID:11135141

大小:1.01 MB

页数:68页

时间:2018-07-10

《eda技术》实验指导书_第1页
《eda技术》实验指导书_第2页
《eda技术》实验指导书_第3页
《eda技术》实验指导书_第4页
《eda技术》实验指导书_第5页
资源描述:

《《eda技术》实验指导书》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术》实验指导书信息处理技术教研室物理学及电子信息工程系6666目录实验一数据选择器设计1实验二7段数码显示译码器设计3实验三触发器的设计6实验四含异步清0和同步时钟使能的加法计数器设计8实验五8位数码扫描显示电路设计10实验六数控分频器的设计13实验七正弦信号发生器的设计16实验八VHDL状态机A/D采样控制电路实现20实验九比较器和D/A器件实现A/D转换功能的电路设计23实验十乐曲硬件演奏电路设计25实验十一步进电机细分驱动控制设计33实验十二VGA彩条信号显示控制器设计36附录:GW48EDA/SOPC主系统使用说明38第一节GW48教学

2、实验系统原理与使用介绍38第二节实验电路结构图45第三节超高速A/D、D/A板GW_ADDA说明53第四节步进电机和直流电机使用说明55第五节SOPC适配板使用说明55第六节GWDVPB电子设计竞赛应用板使用说明56第七节GW48CK/GK/EK/PK2系统万能接插口与结构图信号/与芯片引脚对照表6166《EDA技术》实验指导书实验一数据选择器设计1.实验目的:熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。2.实验内容(1)首先利用QuartusⅡ完成2选1多路选择器(例1-1)的文本编辑输入(

3、mux21a.vhd)和仿真测试等步骤,给出图1-1所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。(2)将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图1-2,并将此文件放在同一目录中。以下是部分参考程序:COMPONENTMUX21APORT(a,b,s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDCOMPONENT;...u1:MUX21APORTMAP(a=>a2,b=>a3,s=>s0,y=>tmp);u2:MUX21APORTMAP(a=>a1,b=>tmp,s=>s1,y=>outy);E

4、NDARCHITECTUREBHV;【例1-1】ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;66《EDA技术》实验指导书ENDPROCESS;ENDARCHITECTUREone;图1-1mux21a功能时序波形图1-2双2选1多路选择器对上例分别进行编译、综合、仿真,并对其仿真波形作出分析说明。(3)引脚锁定以及硬件下载测试。

5、若选择目标器件是EP1C3,建议选实验电路模式5(附录图7),用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2和a1分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5接1024Hz,clock2接8Hz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。3.实验报告:根据以上的实验内容写出实验报告,包括程序设

6、计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。66《EDA技术》实验指导书实验二7段数码显示译码器设计1.实验目的:学习7段数码显示译码器设计;学习VHDL的CASE语句应用及多层次设计方法。2.实验原理:7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。例2-1作为7段译码器,输出信号LED7S的7位分别接

7、如图2-2数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h,例2-1中的LED7S:OUTSTD_LOGIC_VECTOR(6DOWNTO0)应改为…(7DOWNTO0)。3.实验内容(1)说明例2-1中各语句的含义,以及该例的整体功能。在QuartusII上对该例进行编辑、编译、综合、适配、仿真,给出所有信号的时序仿真波形。提示:用输入总线的方

8、式给出输入信号仿真数据,仿真波形示例图如图2-1所示。图2-17段译码器仿真波形

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。