数字日历电路的设计-eda实训

数字日历电路的设计-eda实训

ID:12075057

大小:2.49 MB

页数:12页

时间:2018-07-15

数字日历电路的设计-eda实训_第1页
数字日历电路的设计-eda实训_第2页
数字日历电路的设计-eda实训_第3页
数字日历电路的设计-eda实训_第4页
数字日历电路的设计-eda实训_第5页
资源描述:

《数字日历电路的设计-eda实训》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、成绩批阅教师日期桂林电子科技大学实训报告2016-2017学年第1学期学院海洋信息工程学院课程EDA综合实训姓名钟朝林学号1416030218指导老师覃琴日期2016/12/29实训题目:数字日历电路的设计1概述1.1设计要求1.1.1设计任务设计并制作一台数字日历。1.1.2性能指标要求①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。②数字日历能够显示年、月、日、时、分和秒。③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示

2、时、分、秒(如00123625),两个时间段能自动倒换。④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。1.2总体设计基本原理及框图1.2.1基本原理日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行

3、计数,得到日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端,还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、日状态信号送到数码管显示器显示。1.2.2总体框图2系统软件设计分析2.1年月日模块moduler(clrn,clk,jn,jy,jr,qn,qy,qr);//年月日模块in

4、putclrn,clk,jn,jy,jr;outputreg[15:0]qn;reg[15:0]qn1;outputreg[7:0]qy,qr;regclkn,clky;reg[7:0]date;regclkn1,clkn2,clkn3;initialbeginclkn1=1;clkn2=1;clkn3=1;endinitialbeginqn='h2011;qn1=2011;qy=1;qr=1;endalways@(posedge(clk^jr)ornegedgeclrn)//日计时模块beginif(~clrn)qr=1;elseb

5、eginif(qr==date)beginqr=1;clky=1;endelsebeginqr=qr+1;clky=0;endif(qr[3:0]=='ha)beginqr[3:0]=0;qr[7:4]=qr[7:4]+1;endendendalways@(posedge(clky^jy)ornegedgeclrn)//月计时模块beginif(~clrn)qy=1;elsebeginif(qy=='h12)beginqy=1;clkn=1;endelsebeginqy=qy+1;clkn=0;endif(qy[3:0]=='ha)b

6、eginqy[3:0]=0;qy[7:4]=qy[7:4]+1;endendendalwaysbegincase(qy)'h01:date='h31;'h02:beginif((qn1%4==0)&(qn1%100!=0)

7、(qn1%400==0))date='h29;elsedate='h28;end'h03:date='h31;'h04:date='h30;'h05:date='h31;'h06:date='h30;'h07:date='h31;'h08:date='h31;'h09:date='h30;'h10:date='h3

8、1;'h11:date='h30;'h12:date='h31;default:date='h30;endcaseendalways@(posedge(clkn^jn)ornegedgeclrn)//年计时模块beginif(~clrn)beginqn[3:0]=1;qn1=2011;endelsebeginif(qn[3:0]==9)qn[3:0]=0;elsebeginqn[3:0]=qn[3:0]+1;qn1=qn1+1;endif(qn[3:0]==9)clkn1=0;elseclkn1=1;endendalways@(pos

9、edgeclkn1ornegedgeclrn)beginif(~clrn)qn[7:4]=1;elsebeginif(qn[7:4]==9)qn[7:4]=0;elseqn[7:4]=qn[7:4]+1;if(qn[7:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。