单片机心形流水灯

单片机心形流水灯

ID:13005456

大小:35.50 KB

页数:4页

时间:2018-07-20

单片机心形流水灯_第1页
单片机心形流水灯_第2页
单片机心形流水灯_第3页
单片机心形流水灯_第4页
资源描述:

《单片机心形流水灯》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、单片机proteus仿真—闪亮的心型图程序代码#include#defineuintunsignedint//宏定义#defineucharunsignedchar//宏定义sbitled1=P2^0;sbitled2=P2^1;sbitled3=P2^2;sbitled4=P2^3;sbitled5=P2^4;sbitled6=P2^5;sbitled7=P2^6;sbitled8=P2^7;sbitled9=P3^0;sbitled10=P3^1;sbitled11=P3^

2、2;sbitled12=P3^3;sbitled13=P3^4;sbitled14=P3^5;sbitled15=P3^6;sbitled16=P3^7;sbitled17=P1^3;sbitled18=P1^2;sbitled19=P1^1;sbitled20=P1^0;voidmain(){uinta,b;b=10;while(b--){=15000;led1=led3=led5=led7=led9=led11=led13=led15=led17=led19=0;while(a--);led

3、1=led3=led5=led7=led9=led11=led13=led15=led17=led19=1;a=15000;while(a--);}b=10;while(b--){a=15000;led2=led4=led6=led8=led10=led12=led14=led16=led18=led20=0;while(a--);led2=led4=led6=led8=led10=led12=led14=led16=led18=led20=1;a=15000;hile(a--);}while(

4、1){=5000;led1=0;while(a--);led1=1;led3=0;a=5000;while(a--);led3=1;led5=0;5000;while(a--);led5=1;led7=0;a=5000;while(a--);led7=1;led9=0;a=5000;while(a--);led9=1;led11=0;a=5000;while(a--);led11=1;led13=0;a=5000;while(a--);led13=1;led15=0;a=5000;while(a

5、--);led15=1;led7=0;a=5000;while(a--);led17=1;led19=0;a=5000;while(a--);led19=1;led2=0;a=5000;while(a--);led2=1;led4=0;a=5000;while(a--);led4=1;led6=0;a=5000;while(a--);led6=1;led8=0;a=5000;while(a--);led8=1;led10=0;a=5000;while(a--);led10=1;led12=0;a

6、=5000;while(a--);led12=1;led14=0;a=5000;while(a--);led14=1;led16=0;a=5000;while(a--);led16=1;led18=0;a=5000;while(a--);led18=1;led20=0;a=5000;while(a--);led20=1;}}

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。