基于eda的fpga的实验开发板的设计与程序调试课程设计报告

基于eda的fpga的实验开发板的设计与程序调试课程设计报告

ID:13701438

大小:793.50 KB

页数:20页

时间:2018-07-24

基于eda的fpga的实验开发板的设计与程序调试课程设计报告_第1页
基于eda的fpga的实验开发板的设计与程序调试课程设计报告_第2页
基于eda的fpga的实验开发板的设计与程序调试课程设计报告_第3页
基于eda的fpga的实验开发板的设计与程序调试课程设计报告_第4页
基于eda的fpga的实验开发板的设计与程序调试课程设计报告_第5页
资源描述:

《基于eda的fpga的实验开发板的设计与程序调试课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、专业课程设计报告课设名称:基于EDA的FPGA实验开发板的设计目录:1、设计任务及内容2、系统硬件设计(要求对系统每部分电路进行介绍)3、系统软件设计(画出程序流程图)4、系统调试5、课程设计总结6、参考文献7、附录(系统硬件原理图以及程序代码)一:设计任务及内容设计任务:基于EDA的FPGA的实验开发板的设计与程序调试。设计内容:开发板底板和核心板原理图以及PCB的设计,程序的编写与下载调试。二:系统硬件设计核心板部分1、电源部分:通过5V直流供电,经过电容滤波2、蜂鸣器部分:通过三极管驱动蜂鸣器,可以播放一些简单的音乐1、PS2键盘:可以用作一般通讯目的或者嵌入式系统和

2、片上系统调试4、1602-12964LCD液晶显示屏:LCD模块接口,显示点阵为128x64;一种为T6963控制器的LCD模块5、串口:数据通信,提高数据传输速度5、VGA部分:VGA接口就是显卡上输出模拟信号的接口6、步进电机部分:通过编写程序可以控制步进电机的转速5、LED灯部分:可以通过vhdl语言编程来控制发光二极管按顺序依次点亮9、按键部分:人机交换部分,更加智能与方便10、数码管部分:11、双排底座:与核心板的链接部分核心板部分1、晶振部分:为芯片提供时钟信号1、排针部分与外部器件的连接部分2、电源部分:为芯片供电1、JTAG部分:与计算机进行数据通信,程序的

3、下载1、核心芯片:FPGA核心部分,控制各模块的工作三:系统软件设计四:系统调试软件仿真:利用quartus2软件将编写好的程序进行时序仿真,得到波形图如下五课程设计总结通过这次课程设计,让我们学会了如何应用AD6这款软件进行原理图与PCB的绘制,期间遇到了很多困难,不过通过查询资料和咨询老师,我们最后还是能够基本上完成了任务。通过这次课设,学会了很多东西,比如如何自己利用AD6绘制一个元器件,怎么利用AD6进行PCB正方面的设计,怎么布铜等等。当然只是通过这次课设我们也学会了团队合作的重要性,组员之间分工明确,使得任务完成的更加轻松。总之,这次可是让我们受益匪浅,感谢学校

4、给我们这次机会,更加感谢刘老师的悉心指导!六参考文献1、sopc-eda实验指导书2、阎石.数字电子技术基础.北京:高等教育出版社,20063、AD6使用指导4、FPGA开发板使用说明书附录:底板原理图与PCB核心板原理图与PCB程序代码:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYseg70ISPORT(clk:INstd_logic;rst:INstd_logic;dataout:OUTstd_logic_v

5、ector(7DOWNTO0);--各段数据输出en:OUTstd_logic_vector(7DOWNTO0));--COM使能输出ENDseg70;ARCHITECTUREarchOFseg70ISsignalcnt_scan:std_logic_vector(15downto0);signaldata4:std_logic_vector(3downto0);signaldataout_xhdl1:std_logic_vector(7downto0);signalen_xhdl:std_logic_vector(7downto0);begindataout<=datao

6、ut_xhdl1;en<=en_xhdl;process(clk,rst)beginif(rst='0')thencnt_scan<="0000000000000000";elsif(clk'eventandclk='1')thencnt_scan<=cnt_scan+1;endif;endprocess;process(cnt_scan(15downto13))begincasecnt_scan(15downto13)iswhen"000"=>en_xhdl<="11111110";when"001"=>en_xhdl<="11111101";when"010"=>en_

7、xhdl<="11111011";when"011"=>en_xhdl<="11110111";when"100"=>en_xhdl<="11101111";when"101"=>en_xhdl<="11011111";when"110"=>en_xhdl<="10111111";when"111"=>en_xhdl<="01111111";whenothers=>en_xhdl<="11111110";endcase;endprocess;process(en_xhdl)begincaseen_xhdliswhe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。