基于fpga的数字信号发生器设计

基于fpga的数字信号发生器设计

ID:18516466

大小:452.00 KB

页数:36页

时间:2018-09-18

基于fpga的数字信号发生器设计_第1页
基于fpga的数字信号发生器设计_第2页
基于fpga的数字信号发生器设计_第3页
基于fpga的数字信号发生器设计_第4页
基于fpga的数字信号发生器设计_第5页
资源描述:

《基于fpga的数字信号发生器设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于FPGA的数字信号发生器设计摘要数字信号发生器是数字信号处理中不可缺少的调试设备,在生产生活中的应用非常广泛。本文所设计的内容就是基于Altera公司的现场可编程门阵列(FPGA)实现数字信号发生器的设计,FPGA具有密度高,功耗低,体积小,可靠性高等特点,设计时可以不必过多考虑具体硬件连接;本设计中应用VHDL硬件描述语言进行描述,使该数字信号发生器可以产生正弦波、方波、三角波、锯齿波四个独立的波形,并能对所产生的四种波形的频率和幅度进行调节。关键词:直接数字频率合成;数字波形发生器;FPGA;DDS;VHDLDesignOfFPGA-basedDigitalSignalG

2、eneratorYangChunjian(CollegeofPhysicsScienceandInformationEngineering,JishouUniversity,JishouHunan416000)AbstractDigitalsignaltransmitterasatestfacilityisanimportantpartofinformationprocessingsystem.Intheproductionofawiderangeofapplicationoflife.ThiscontentisdesignedbyAltera,basedonfieldprogr

3、ammablegatearray(FPGA)designofdigitalsignalgenerator,FPGAhasahighdensity,lowpowerconsumption,smallsize,highreliability,cannothavetoomuchtoconsiderwherdesigningspecifichardwareconnection;thedesignoftheapplicationofVHDLhardwaredescriptionlanguagetodescribe,sothatthedigitalsignalgeneratorcanprod

4、ucesine,square,triangle,sawtoothwaveformsoffourindependent,andisabletoproducefourwaveformsbythefrequencyandamplitudeadjustment.Keywords:DirectDigitalFrequencySynthesis;DigitalWaveformGenerator;FPGA;DDS;VHDL目录第一章绪论11.1背景与意义11.2国内外发展现状1第二章相关资料32.1DDS技术32.2FPGA简介42.3VHDL简介52.4QuartusⅡ简介7第三章系统硬件电

5、路设计93.1数字信号发生器的系统组成93.2设计原理及要求93.3输入部分103.3.1频率、幅值和波形转换部分103.3.2系统时钟电路123.3.3电源电路的设计123.4FPGA部分123.5D/A转换部分133.5.1DAC0832转换器简介143.6滤波电路15第四章系统软件设计164.1软件系统流程图164.2数字信号发生器的软件设计164.2软件各模块174.2.1主控制模块174.2.2波形数据产生模块18结束语22参考文献23附录24基于FPGA的数字信号发生器设计绪论第一章绪论1.1背景与意义在电子技术领域,常常需要波形、频率、幅度都可调的电信号,用于产生这

6、种电信号的电子仪器称作信号发生器。信号发生器是一种常用的信号源,广泛运用于科学研究、生产实践和教学试验等领域。特别是在通信系统的科研实验中,常常需要用到不同频率和幅度的信号,如正弦波、三角波、方波和锯齿波等。作为一种为电子测量和计量提供电信号的设备,它和万用表、示波器、频率计等仪器一样,是最普通、最基本,也是运用最广泛的电子仪器之一,几乎所有电参量的测量都需要用到信号发生器。传统的波形发生器多采用模拟分立元件实现,产生的波形种类要受到电路硬件的限制,体积大、灵活性和稳定性也相对较差。近年来,以数字技术为基础的数字信号发生器得到了飞速的发展,性能指标都达到了一个新的水平。现场可编程

7、门阵列器件具有容量大、运算速度快、现场可编程等优点,使得许多复杂的电路有了新的实现途径,越来越被广泛地应用到实际系统中。而且随着当今电子系统的越来越复杂,毫无疑问,数字信号发生器正在成为模拟复杂信号的事实标准。凡是能产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表进行测量的参数。信号源有很多种分类方法,其中一种方法

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。