北邮 数字逻辑实验报告new

北邮 数字逻辑实验报告new

ID:18532196

大小:631.00 KB

页数:30页

时间:2018-09-18

北邮 数字逻辑实验报告new_第1页
北邮 数字逻辑实验报告new_第2页
北邮 数字逻辑实验报告new_第3页
北邮 数字逻辑实验报告new_第4页
北邮 数字逻辑实验报告new_第5页
资源描述:

《北邮 数字逻辑实验报告new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、数字逻辑课程设计北京邮电大学课程设计报告课程设计名称数字逻辑学院计算机指导教师班级班内序号学号学生姓名成绩------杨杨------陈陈------金金课程设计内容教学目的:掌握ispLEVER软件的使用方法,掌握isp器件的使用方法,用VHDL进行较复杂逻辑电路的设计和调试,熟练掌握isp器件的下载方法。基本内容:1.交通灯控制2.电子钟显示3.药片装瓶系统实验方法:先用VHDL进行软件编程,然后下载到ISP器件,进行硬件仿真实验。组员分工:详见各实验报告实验分工。学生课程设计报告(附页)课程设计成绩评定遵

2、照实践教学大纲并根据以下四方面综合评定成绩:1、课程设计目的任务明确,选题符合教学要求,份量及难易程度2、团队分工是否恰当与合理3、综合运用所学知识,提高分析问题、解决问题及实践动手能力的效果4、是否认真、独立完成属于自己的课程设计内容,课程设计报告是否思路清晰、文字通顺、书写规范评语:成绩:指导教师签名:年月日注:评语要体现每个学生的工作情况,可以加页。30数字逻辑课程设计目录实验一:交通灯控制器设计实验二:电子钟设计实验三:药片装瓶系统设计附:数字逻辑课程设计调试日志及个人心得体会30数字逻辑课程设计实验一

3、:交通灯控制器设计一、实验目的①学习采用状态机方法设计时序逻辑电路。②掌握ispLEVER软件的使用方法。③掌握用VHDL语言设计数字逻辑电路。④掌握ISP器件的使用。二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B数字电路实验系统一台三、实验内容以实验台上的4个红色电平指示灯,4个绿色电平指示灯模仿路口的东南西北4个方向的红,绿,黄交通灯。控制这些交通灯,使它们按下列规律亮,灭。(1)初始状态为4个方向的红灯全亮,时间1s。(2)东,西

4、方向绿灯亮,南,北方向红灯亮。东,西方向通车,时间5s。(3)东,西方向黄灯闪烁,南,北方向红灯,时间2s。(4)东,西方向红灯亮,南,北方向绿灯亮。南,北方向通车,时间5s。(5)东,西方向红灯闪烁,南,北方向黄灯闪烁,时间2s。(6)返回(2),继续运行。(7)如果发生紧急事件,例如救护车,警车通过,则按下单脉冲按钮,使得东,南,西,北四个方向红灯亮。紧急事件结束后,松开单脉冲按钮,将恢复到被打断的状态继续运行。四、设计思路(1)将本实验分为分频,状态计数器,led输出三大模块;(2)分频模块需要注意到占空

5、比,采用when-else语句;(3)状态计数器都分为5s,2s,5s,2s,四个状态时间,通过计数器作状态转移;(5)led输出模块的黄灯闪烁可通过2HZ的方波信号实现。(6)选择实验台上的5kHz频率时钟,作为设计中分频的初始时钟。(5)紧急事件发生时,要注意保存必要的信息,已被紧急事件结束后,恢复到原状态继续运行使用。30数字逻辑课程设计五、设计方案模块图1、tralight(顶层模块代码)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_u

6、nsigned.all;entitytralightisport(clk,emg:instd_logic;--5KHZ时钟输入,紧急输入tout:outstd_logic_vector(11downto0);--12盏led灯输出tout2,tout1:outstd_logic_vector(3downto0));--倒计时endtralight;architecturetopoftralightiscomponentfenpin--分频模块port(clkin:instd_logic;--5KHZ时钟输入cl

7、kout1:outstd_logic;--1HZ时钟clkout2:outstd_logic);--2HZ时钟endcomponent;componentztjishuqi--状态计数器模块port(emg1,clk1:instd_logic;--紧急输入,1HZ时钟输入stateout:outstd_logic_vector(1downto0);--2位状态输出daoout2,daoout1:outstd_logic_vector(3downto0));--倒计时endcomponent;30数字逻辑课程设计

8、componentled--led交通灯显示模块port(emg2,clk2:instd_logic;--紧急输入,2HZ时钟输入(方波闪烁)statein:instd_logic_vector(1downto0);--2位状态输入ledout:outstd_logic_vector(11downto0));--12盏led灯输出endcomponent;signalfenpin1:s

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。