利用fpga技术实现数字通信中的交织器和解交织器

利用fpga技术实现数字通信中的交织器和解交织器

ID:26015180

大小:52.00 KB

页数:7页

时间:2018-11-24

利用fpga技术实现数字通信中的交织器和解交织器_第1页
利用fpga技术实现数字通信中的交织器和解交织器_第2页
利用fpga技术实现数字通信中的交织器和解交织器_第3页
利用fpga技术实现数字通信中的交织器和解交织器_第4页
利用fpga技术实现数字通信中的交织器和解交织器_第5页
资源描述:

《利用fpga技术实现数字通信中的交织器和解交织器》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、利用FPGA技术实现数字通信中的交织器和解交织器

2、第1摘要:介绍用FPGA实现数字通信中的交、解交织器的一种比较通用的方案,详细说明了设计中的一些问题及解决办法。还介绍了一种实现FPGA中信号延时的方法。在现代数字通信系统中,FPGA的应用相当广泛。尤其是在对基带信号的处理和整个系统的控制中,FPGA不但能大大缩减电路的体积,提高电路的稳定性,而且先进的开发工具使整个系统的设计调试周期大大缩短。1交织器与解交织器的原理数字通信中经常用信道编码来提高数据传输的可*性,其中一些信道编码加入了交织模块,以进一步提高抗

3、干扰性能。交织器的主要作用就是将原始数据序列打乱,使得交织前后数据序列的相关性减弱,这样做很突出的一个优点便是大大降低了数据突发错误的影响。在现代的高效编码调制技术中,无论是级联编码还是Turbo编码中都要使用交织器。数字通信中常用的交织器按交织方式可分为分组交织器和随机交织器两种,按交织对象分可分为字节交织和位交织。本文所介绍的实现方案主要针对字节交织器。下面用一个模型来说明交织器的工作过程。设外码RS码字长度为n=7,交织器深度Id=4,相邻码字之间的交错字节数di=[(n-1)/Id=SmallestIn

4、teger≥(n-1)/Id=2,则交织器的功能如下图1所示。500)this.style.ouseg(this)">输入码字按行排列,按列输出,图中“*”为无效数据,即交织器输出的前端有一些无效数据。解交织器将交织器打乱的字节序列重新排列恢复原始码字。如图1,即将串行输入的字节序列先按列方式读入,再逐行将码字读出。从图中可以看出,行与行的码字间均有di字节交错,这种斜交织方式的优点可以减小系统时延。2交织器的实现2.1实现模型:在本课题中,交织器的几个参数分别为:n=219,Id=8,di=[219-1/8]

5、=28。图2是实现交织器模型。图中粗线内的方块区域为实现交织器所必须的一块数据缓冲区(存储器),考虑到交织器的功能特性是须对此缓冲区同时读写,故选用双口RAM实现最好,因为1024<219×8<2048,故容量用2Kbytes即可。模型中所标识的数字是存储器的地址,画斜线的区域为空闲缓存区,右边存储器外虚线下数据实际上是存储在存储器左下角的区域内。交织器实际工作时,一边按写地址序列向缓存区中写入数据,另一边按读地址序列读出。图2模型的写地址序列为:0,1,2...218,284,285...437,256,25

6、7...283,568,569...656,512,513...567,852......,依次写入每行,直到1987,下一字节再从0地址开始,循环写入。读地址序列为:0,256,512,768,1024...1792,1,257,513......,直到1751地址,再从0地址开始循环。500)this.style.ouseg(this)">对于解交织器,读地址序列正好是交织器的写地址序列,写地址序列是交织器的读地址序列。2.2FPGA的选用及实现的原理框图目前主要的FPGA厂商有Xilinx、Altera、

7、AMD、Lattice、Actel、Lucent及Atmel等。Altera公司生产的FLEX10K系列的FPGA,具有规模覆盖范围广、布线资源丰富、时间可预测性好的优点,故而在数字通信系统设计中得到了广泛的应用。此外,FLEX10K系列芯片都具有EAB(EmbededArrayBlock),可以实现片内存储器功能,若用EAB做交织器、解交织器的数据缓存区,就可以用单片FPGA完成交、解交织器的功能,从而大大减小电路的复杂度和体积。但实际应用中,由于FLEX10K芯片的片内EAB资源有限,所以要完全实现交、解交

8、织器,至少要选择FLEX10K130(内部有13万门左右的资源)以上规模的FPGA。可是实现交织器的时序控制逻辑并不复杂,大概需要一万门左右的资源就够了,再加上系统其它功能块也不过几万门,若使用10K130芯片就会造成资源浪费,而且成本过高。综合考虑,决定用外部双口RAM(IDT7132)当作数据缓冲区,FPGA选用FLEX10K20芯片。图3即为用FPGA实现交织器的原理框图,FPGA的内部可由读、写地址序列发生器和时序控制器三部分组成。时序控制器主要产生双口RAM读写控制信号,并决定读、写地址序列发生器何时

9、启动工作。因为解交织器仅是数据进出双口RAM的顺序不同,故上原理图中只须变换读、写地址序列发生器便可构成解交织器。3实现中的若干问题3.1读写地址的产生问题最一般的方案为查找表的方法。令输入数据顺序存入双口RAM,即写地址序列变为:0,1,2,3...1750,1751,0......,对照模型找出对应的读地址序列。这样重新编址的好处是使写地址序列易于产生,500)this.style

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。