硬件电子琴电路设计报告

硬件电子琴电路设计报告

ID:26534998

大小:130.00 KB

页数:10页

时间:2018-11-27

硬件电子琴电路设计报告_第1页
硬件电子琴电路设计报告_第2页
硬件电子琴电路设计报告_第3页
硬件电子琴电路设计报告_第4页
硬件电子琴电路设计报告_第5页
资源描述:

《硬件电子琴电路设计报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、电子综合设计报告设计题目:硬件电子琴电路设计专业班级:电信07104班学生姓名:沈钦辉学生学号:200711020412指导教师:蔡剑华设计时间:2010-06-03一、整体设计方案1.1设计要求利用数控分频器设计硬件电子琴,当按下琴键时,扬声器发出该琴键相对应的音阶,同时数码管显示音阶数字,若为高音时,二极管点亮。1.2整体设计原理本设计可以通过多种方案来实现,下面就主要的三种方案进行阐述和比较。方案一:采用数字逻辑电路制作,用IC拼凑焊接实现,这种电路很直观,简单方便。但应用数字逻辑电路制作的话,使用的器件较多,连接复杂,体积大,功耗大。电路中焊点和线路较多会,

2、使成品的稳定度和精度大大降低。方案二:采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现.采用FPGA来设计的原理图如图1.1所示.它由控制输入电路、FPGA、显示电路和扬声器电路组成。控制输入电路FPGA显示电路扬声电路图1.1采用FPGA设计的电子琴原理方框图控制输入电路主要是为用户设计的,起到一个输入控制的作用.FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块.由设计者把编好的VHDL程序烧制到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产

3、生不同的频率驱动扬声器,发出不同的乐谱.同时也把发出的乐谱符号通过显示器输出.方案三:单片机现在已经达到很成熟的阶段了,它的应用也十分广泛.采用单片机来实现电子琴,它的原理方框图与用FPGA来实现的原理方框图类似,如图1.2所示。控制输入电路MCU(单片机)显示电路扬声器电路图1.2采用单片机实现电子琴的原理方框图图1.1和图1.2的基本原理都相同,唯一不同的是一个是用FPGA来制作,一个是用单片机来实现。采用单片机来实现电子琴,主要的核心是单片机的设计。1.3方案比较对于电子琴的设计,三个方案均可以实现,但是第一个方案中采用的是数字逻辑电路来制作,该电路硬件所需的

4、器材多,体积庞大,比较复杂,而且精度和稳定度都不是很高。第二个方案采用的是现场可编程逻辑器件来实现,它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用软件QuartusⅡ进行仿真和调试等。设计人员可以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易.方案三也有它的优点,但同时也存在缺点。它对设计者的要求比较高,设计者对软硬件必须十分熟悉。和方案二来比它的实验仿真没有方案二简单直观,调试也有一定的难度。在外界环境

5、相同的条件下,方案三设计出来的产品精度和稳定度要比方案二稍微差一些。因此,电子琴的设计我们选择方案二来实现。二、单元电路设计2.1实验原理主系统由3个模块组成,程序-3(见附录)是顶层设计文件,其内部有两个功能模块(如图2-1所示):TONE.VHD(程序-2(见附录))和SPEAKER.VHD(程序-1(见附录))。模块TONE是音阶发生器,当8位发声控制输入INDEX中某一位为高电平时,则对应某一音阶的数值将从端口TONE输出,作为获得该音阶的分频预置值;同时由CODE输出对应该音阶简谱的显示数码,如‘5’,并由HIGH输出指示音阶高8度显示。由程序-2可见,其

6、语句结构只是类似与真值表的纯组合电路描述,其中的音阶分频预置值,如Tone<=1290是根据产生该音阶频率所对应的分频比获得的。Tone:u1Speaker:u2HIGHclk1INDEX1[7..0]Index[7..0]CODE[7..0]SpkSSPKOUTTone1[10..0]Tone[10..0]CODE1[7..0]HIGH1CLK20MHZ图2-1硬件电子琴电路结构模块SPEAKER中的主要电路是一个数控分频器,它由一个初值可预置的加法计数器构成,当模块SPEAKER由端口TONE获得一个2进制数后,将以此值为计数器的预置数,对端口CLK20MHZ输

7、入的频率进行分频,之后由SPKOUT向扬声器输出发声。2.2顶层模块的设计VHDL采用的是自顶向下的设计方式,顶层模块由音调发生器(tone)和数控分频器(speaker)两个模块组成。图2-1即是顶层设计原理图。其中音调发生器部分又包括了键盘编码。设置八个按键(Index1)输入信号,再对其进行编码,输出的Tone都是11位二进制数,对应数控分频模块的输入。数控分频模块根据输入的预置数Tone1,对基准脉冲进行相关分频后,输出对应音阶的频率,驱动蜂鸣器发音。2.3音调发生器(tone)模块的设计音调发生器的作用是产生获得音阶的分频预置值。当8位发声控制输入in

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。