verilog hdl模块化程序设计

verilog hdl模块化程序设计

ID:28151904

大小:18.14 KB

页数:5页

时间:2018-12-07

verilog hdl模块化程序设计_第1页
verilog hdl模块化程序设计_第2页
verilog hdl模块化程序设计_第3页
verilog hdl模块化程序设计_第4页
verilog hdl模块化程序设计_第5页
资源描述:

《verilog hdl模块化程序设计》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了确保“教学点数字教育资源全覆盖”项目设备正常使用,我校做到安装、教师培训同步进行。设备安装到位后,中心校组织各学点管理人员统一到县教师进修学校进行培训,熟悉系统的使用和维护。VerilogHDL模块化程序设计  摘要:电子技术设计的核心是EDA,目前,EDA技术的设计语言主要有VerilogHDL和VHDL两种,相对来说VerilogHDL语言相对简单,上手快,其语法风格与C语言类似,据统计,VerilogHDL和VHDL的使用比率大概是80%和20%,在中国,大多数电子行业企业都采用Verilog。而模块化的设计让VerilogHDL语言具有思路清晰、

2、逻辑关系明确、可读性强等特点,模块化的设计在VerilogHDL语法设计中也成为主流。  关键词:VerilogEDA模块化  中图分类号:文献标识码:A文章编号:1672--0012-02  1EDA的发展现状  在全球范围内,自动化软件市场已比较成熟,年增长速度大概在9%左右,目前,全球知名的自动化软件厂商不足20家,亚洲由于中国和印度是发展中的大国,自动化软件的应用潜力比较大,所以整个亚洲年增长速度大概在15%左右,当然其基数也比较低。  现在EDA技术的发展可以用日新月异来形容,目前EDA技术已在各个方面得到了广泛使用,例如在医疗器械制造过程中,从设

3、计、性能测试及特性分析,都可能涉及到EDA技术。为了充分发挥“教学点数字教育资源全覆盖”项目设备的作用,我们不仅把资源运用于课堂教学,还利用系统的特色栏目开展课外活动,对学生进行安全教育、健康教育、反邪教教育等丰富学生的课余文化生活。为了确保“教学点数字教育资源全覆盖”项目设备正常使用,我校做到安装、教师培训同步进行。设备安装到位后,中心校组织各学点管理人员统一到县教师进修学校进行培训,熟悉系统的使用和维护。  在EDA软件开发方面,目前主要集中在美国,其中三大商业巨头为Synopsys、Mentor、Cadence,虽然,目前中国的EDA技术已经逐渐在走向

4、成熟,但是想要与美国的设计工程师形成更有力的竞争,中国的设计队伍应该在EDA技术中更深入的了解,研发,必要的时候还可以购入一些最新的EDA技术。可见EDA在以后的发展中有着不可估量的地位。  2为什么要进行模块化设计  在实际运用中,如果所有的功能都由一个模块来实现,那么会造成模块的设计复杂,思路不清晰,VerilogHDL的模块化设计是一种非常重要的设计方法,不仅能够简化设计流程,而且主流设计思想是“自顶向下”。模块化设计就是将一个大的模块分为一个顶层模块和N个子模块,而顶层模块只需要通过简单的程序代码对子模块进行调用就能实现整体功能。  模块化设计,就和

5、小时候玩积木游戏是一样的,根据不同的应用需求,设计不同的子模块,每个子模块实现不同的功能,各个模块之间都是并行运行的,顶层模块可以通过调用子模块来实现复杂的设计,如果想将所有的子模块连接成一个完整的系统,那么可以通过顶层模块将所有的子模块连接起来。一个子模块也可以在另一个子模块中被调用。  3顶层模块与子模块的关系图  顶层模块与子模块的关系图如图1所示。  4模块的概念及其特点为了充分发挥“教学点数字教育资源全覆盖”项目设备的作用,我们不仅把资源运用于课堂教学,还利用系统的特色栏目开展课外活动,对学生进行安全教育、健康教育、反邪教教育等丰富学生的课余文化生

6、活。为了确保“教学点数字教育资源全覆盖”项目设备正常使用,我校做到安装、教师培训同步进行。设备安装到位后,中心校组织各学点管理人员统一到县教师进修学校进行培训,熟悉系统的使用和维护。  VerilogHDL中的模块可分为顶层模块与子模块,顶层模块可调用任意子模块,子模块内部也可调用其他子模块。而子模块一般是具有具体功能的一段verilog代码,例如一个简单的与门、非门、或门。在顶层模块中,可以同时调用多个模块进行功能的组合。无论是顶层模块还是子模块,都具有以下特点。  每一��模块都是以关键词module开始,以关键词endmodule结束的一段程序。  每

7、一个模块都能完成一种特定的功能。  模块之间都是并行运行的。  模块内部都有端口的声明。  各个子模块之间的端口可用线型变量进行连接。  5模块的结构  VerilogHDL模块化设计的主要思想就在于“模块设计”。一个模块内部主要由三部分构成:端口声明,变量描述,功能描述。  一个简单的模块结构如下:  module;      endmodule为了充分发挥“教学点数字教育资源全覆盖”项目设备的作用,我们不仅把资源运用于课堂教学,还利用系统的特色栏目开展课外活动,对学生进行安全教育、健康教育、反邪教教育等丰富学生的课余文化生活。为了确保“教学点数字教育资源

8、全覆盖”项目设备正常使用,我校做到安装、教师培训同步

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。