基于fpga技术的异步双端口ram设计与实现new

基于fpga技术的异步双端口ram设计与实现new

ID:33926690

大小:350.92 KB

页数:9页

时间:2019-02-28

基于fpga技术的异步双端口ram设计与实现new_第1页
基于fpga技术的异步双端口ram设计与实现new_第2页
基于fpga技术的异步双端口ram设计与实现new_第3页
基于fpga技术的异步双端口ram设计与实现new_第4页
基于fpga技术的异步双端口ram设计与实现new_第5页
资源描述:

《基于fpga技术的异步双端口ram设计与实现new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、2004中国通信集成电路技术与应用研讨会论文集基于FPGA技术的异步双端口RAM设计与实现方湘艳韩威(中国船舶重工集团公司第709研究所产品研发部、湖北省武汉市珞瑜路洪山区718号邮编:430079、Email:fangxy_whu@126.tom)摘要:本文通过分析双CPU系统中高速数据采集存在的问题,提出了用异步双端口RAM可同时读写访问存储器的解决方案。接着对异步双端口RAM的内部结构及关键技术进行了阐述,介绍了通过FPGA束实现异步双端口RAM功能的一种方法。该力法将异步RAM用FPGA内部的同步BlockRAM来实现,不但充分利用了FPGA的内部资源,而且减少了因信号的

2、毛刺而产生的读写数据错误。并对其综合仿真结果进行了分析。关键词:异步双端口RAM竞争R。'GABlockRAMAbstract:Thethesisanalysesthequem0Ilaboutthedatacollectionofthesystemofdual-cpus,andbringsupaschemetosolvethequestionbvdual—portsasynchronismRAMthatcanaccesstheRAMatthesaiTletimeFollowingexpatiationtheinternalst/'uctureandkeytechnologyofd

3、ual-portsasynchronismRAM,andintroducingawaytoimplementthefitncdonofdual—poitsasynchronismRAMwithFIGAThewayistoimplementthefitnetionofasynchronismRAMwiththeresourceofsvIlchronismBlockRAMinFPGA.thisadequatelyn3akesuseofin把fiorresourceinFPGA,moreoverreducestheerrorofdatathatbereadedorwrittenonac

4、countofsignalburrandanalysistheresulttobesynthesizedandemulated1.引言在高速数据采集和处理系统中,随着采样数据量的增大及信息处理任务的增加,对数据传送的要求也越来越高。在系统或模块问如果没有能够高速传送数据的接口,则在数据传送时极易造成瓶颈堵塞现象,从而影响整个系统对数据的处理能力。所以,高速并行数据接口的研制在信息处理系统中占有非常重要的地位。在双CPU通信系统中,RAM一般只有一套数据总线、地址总线和读写控制线,因此,两端CPU要访问同一RAM资源,由仲裁电路来判断。当两个CPU在同一段时间内访问共享RAM时,则

5、由仲裁电路迫使后访问的CPU处于等待状态,直到另一个CPU访问结束。这使得数据交换的通讯速率大大降低。而异步双端口RAM却为此提供了一套很好的解决方案。异步双端12RAM具有完全独立的数据线、地址线和读写控制线,因而可使两个CPU在同一时间段内访问内部相同的RAM资源,而任⋯个CPU不会处于等待状态。从而实现了大量数据的高速访问。2.异步双端口R.AM功能的关键技术异步双端12RAM是采用双口并发读写控制逻辑,实时完成两口端地址同时读写的存储器。它的核心部分是用于数据存储的存储器阵列,可为左右两个端12所共用。它具有如下几个功能:可实现两个端口对双口RAM分时、同时存取数据,包括

6、同时对同一地址存取数据,而不需要任何~个端口插入等待状态。可分别控制两个端口高低数据的存取操作。实现两个端口进行单字节和双字节读写。在外部软件的配台下可以将内部的存储阵列最多分成8块,对每一块进行灵活地配置。既可以配置成两个端口的共享资源,也可以配置为某个端口的专用资源。当配置成共享资源时,可以实现两端口的“乒乓操作”:即将几个块RAM用作数据缓冲区,供两个端口分时进行读写操作,完成数豫2004.中国通信集成电路技术与应用研讨会论文集据的无缝缓冲处理。当配置成某个端口专用资源时,可以完成两个端口对它的互斥功能。为提示对方而在两端口提供了灵活的中断功能。如果左端口对定义的“邮箱”进

7、行写操作,m中断右端口单元的操作,但右端口可以通过读取“邮箱”的消息来获取中断的类型。它的内部结构如图1:圈1双端口RAM内部结构圈从上图可知双端口RAM具有如下特征:内含仲裁逻辑,可完成双端口对同一地址进行读写操作;具有中断逻辑,在访问软件的控制下可以使两端口直接进行通信:带有旗语通讯逻辑,可完成两端口对存储资源进行共享操作和互斥操作。因此它主要涉及到如下几个方面的关键技术:2.1解决两端口读写竞争的仲裁电路当两个端口对双口RAM存取时,存在以下4种情况:(1)两个端口同时对不

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。