PS2键盘接口控制器设计

PS2键盘接口控制器设计

ID:35611982

大小:1.05 MB

页数:18页

时间:2019-04-01

PS2键盘接口控制器设计_第1页
PS2键盘接口控制器设计_第2页
PS2键盘接口控制器设计_第3页
PS2键盘接口控制器设计_第4页
PS2键盘接口控制器设计_第5页
资源描述:

《PS2键盘接口控制器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、PS2键盘接口控制器设计PS/2键盘接口控制器设计班级姓名:学号:班内序号:时间:课题名称:PS/2键盘接口控制器设计一、摘要和关键词摘要:PS/2是在电脑上常见的接口之一,用于鼠标、键盘等设备。PS/2接口是输入装置接口,而不是传输接口,所以PS/2口只有扫描速率。在Windows环境下,PS/217PS2键盘接口控制器设计鼠标的采样率默认为60次/秒。微控制器所要作的工作就是监测所有的按键,以及当按键被按下或放开时,就回报给主机。本实验中,通过数字可编程芯片模拟控制器将键盘的输入分别输出到数码管和点阵显示。关键词:PS/2接口点阵数码管数字可编程芯

2、片二、设计任务要求设计制作一个PS/2键盘接口控制器,实现以下功能:1.按照PS/2键盘接口标准设计一个控制器,接收PS/2键盘发送的数据,用数码管显示接收到的键值(只显示0~9,a~z,部分不便用数码管显示的字符可自行设定显示方式,接收到其他键值则不显示);2.用8×8点阵做显示屏,显示所有按键的键值。三、设计思路、总体结构框图1.设计思路:带PS/2接口的键盘与实验开发板连接后,通过可编程芯片读取键盘输入的键值,通过数据线分别传送至数码管和8*8点阵显示。在实验中,分三个模块实现实验所要求的功能:检测键盘输入键值模块、数码管显示模块、8*8点阵显示

3、模块。将三个模块分别设计好后,组合在一起,即可实现实验所要求的功能。2.总体结构框图:四、控制器部分的状态转移图和流程图17PS2键盘接口控制器设计1.控制器部分的状态转移图如下图所示(其中状态值是shift_in的值,假设本次传输的字节数据值是11010011,传输其他字节数据信息时,同理,只需修改shift_in值即可):2.控制器部分的流程图如下图所示:五、分块电路和总体电路设计17PS2键盘接口控制器设计分三个模块实现实验所要求的功能:检测键盘输入键值模块、数码管显示模块、8*8点阵显示模块。其组合关系如下图所示:实验完成后的总体效果图如下图所

4、示:17PS2键盘接口控制器设计1.检测键盘输入键值模块设计检测带PS/2接口的键盘输入时,需要按照特定的步骤来执行操作,才能正确地读取键盘输入的键值。严格的读取数据步骤为:1)等待键盘时钟信号clock=high;2)延时50微秒;3)键盘时钟信号clock仍旧为high?No则回到第1步,Yes则向下执行;4)数据控制信号data=high?No则放弃(并且从主机读取字节),Yes则向下执行;5)延迟20微秒(若正在发送起始位则延时40微秒);6)输出起始位bit(0)(在发送所有这些位的每一位后都要检测时钟线);7)输出8个数据位(测试时钟确认主

5、机是否把时钟线拉低了);8)输出校验位;9)输出停止位,延迟30毫秒。而按如下的过程发送单个位1)设置复位数据;2)延迟20微秒;3)把时钟拉低;4)延迟40微秒;5)释放时钟;6)延迟20微秒。通过实际情况修正与改进以上步骤,在本实验中采用的读取键盘输入键值模块的VHDL语言编程的流程图如下图所示:2.数码管显示模块设计当需要在一个数码管上显示字符时只要在数据端需要点亮的字段管脚上输入’1’,不需要点亮的字段输入管脚上输入’0’,同时把相应的控制端置为’0’即可。当键盘键值和数字0~9的通码比较后,即可送往数码管显示。数字0~9对应的a~g、p的高低

6、电平如下表所示:数字abcdefgp01111110017PS2键盘接口控制器设计101100000211011010311110010401100110510110110610111110711100000811111110911110110数码管功能原理图如下图所示:1.8*8点阵显示模块点阵是由8行8列一共64个发光二极管构成。一共对外的管脚有16条,分为行ROW1~ROW8和列COL1~COL8。点亮EPM1270TCC54芯片上的点阵上某一个点的条件是对应该点的行管脚输出高电平,列管脚输出低电平。利用点阵显示某个字符时,需要先计算出需要点亮点

7、阵的哪些点,再使用行扫描的扫描方式对点阵逐行扫描,即可显示所需要显示的字符,其流程图如下所示:六、所实现功能说明1.已完成的基本功能和扩展功能:1)可分别用拨码开关SW0~SW5控制是否使用数码管DSP1~DSP6显示数字键值,数码管可显示数字0~9;2)可用SW7控制是否使用8*8点阵显示键值,点阵可显示数字0~9、字母A~Z;3)可用SW6控制是否读取键盘输入的键值。2.器件资源占用情况:使用了41个管脚接口,如下图所示:17PS2键盘接口控制器设计使用了336个宏单元,如下图所示:1.关键波形仿真:在进行波形仿真时,由于本实验模拟PS/2控制器的

8、特殊性,输入的主要数据是keyboard_data,每次读取1位数据,在波形仿真时,输出的是键

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。