杭电计组实验4-寄存器堆设计实验

杭电计组实验4-寄存器堆设计实验

ID:35995783

大小:246.81 KB

页数:9页

时间:2019-04-29

杭电计组实验4-寄存器堆设计实验_第1页
杭电计组实验4-寄存器堆设计实验_第2页
杭电计组实验4-寄存器堆设计实验_第3页
杭电计组实验4-寄存器堆设计实验_第4页
杭电计组实验4-寄存器堆设计实验_第5页
资源描述:

《杭电计组实验4-寄存器堆设计实验》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、实用文案实验报告2018年5月12日成绩:姓名阳光男学号16041321班级16052317专业计算机科学与技术课程名称《计算机组成原理与系统结构试验》任课老师张翔老师指导老师张翔老师机位号默认实验序号4实验名称《实验四寄存器堆设计》实验时间2018/5/12实验地点1教211实验设备号个人电脑、Nexys3开发板一、实验程序源代码1.寄存器堆模块代码:moduleRegister_file(R_Addr_A,R_Addr_B,W_Addr,Write_Reg,W_Data,Clk,Reset,R_Data_A,R_Data_B);inp

2、ut[4:0]R_Addr_A;input[4:0]R_Addr_B;input[4:0]W_Addr;inputWrite_Reg;input[31:0]W_Data;inputClk;inputReset;output[31:0]R_Data_A;output[31:0]R_Data_B;reg[31:0]REG_Files[0:31];reg[5:0]i;initial//仿真过程中的初始化beginfor(i=0;i<=31;i=i+1)REG_Files[i]=0;endassignR_Data_A=REG_Files[R_Ad

3、dr_A];assignR_Data_B=REG_Files[R_Addr_B];always@(posedgeClkorposedgeReset)标准文档实用文案beginif(Reset)for(i=0;i<=31;i=i+1)REG_Files[i]=0;elseif(Write_Reg&&W_Addr!=0)REG_Files[W_Addr]=W_Data;endendmodule2.顶层电路模块代码:moduleTop_Register_file(Addr,Write_Reg,C1,C2,Clk,Reset,LED);input

4、[4:0]Addr;input[1:0]C1;//C1选择32位数据输出哪八位字节inputWrite_Reg,C2,Clk,Reset;//C2选择读A/B端口的数据outputreg[7:0]LED;wire[31:0]R_Data_A,R_Data_B;reg[31:0]W_Data;reg[4:0]A,B;Register_filereg1(A,B,Addr,Write_Reg,W_Data,Clk,Reset,R_Data_A,R_Data_B);always@(AddrorWrite_RegorC1orC2orR_Data_A

5、orR_Data_B)beginA=0;B=0;LED=0;W_Data=0;if(!Write_Reg)//读操作Write_Reg=0beginif(!C2)beginA=Addr;case(C1)2'b00:LED=R_Data_A[7:0];2'b01:LED=R_Data_A[15:8];标准文档实用文案2'b10:LED=R_Data_A[23:16];2'b11:LED=R_Data_A[31:24];endcaseendelsebeginB=Addr;case(C1)2'b00:LED=R_Data_B[7:0];2'b0

6、1:LED=R_Data_B[15:8];2'b10:LED=R_Data_B[23:16];2'b11:LED=R_Data_B[31:24];endcaseendendelse//写操作begincase(C1)2'b00:W_Data=32'h0000_0003;2'b01:W_Data=32'h0000_0607;2'b10:W_Data=32'hFFFF_FFFF;2'b11:W_Data=32'h1111_1234;endcaseendendendmodule3.测试代码moduletest;//Inputsreg[4:0]R

7、_Addr_A;reg[4:0]R_Addr_B;reg[4:0]W_Addr;标准文档实用文案regWrite_Reg;reg[31:0]W_Data;regClk;regReset;//Outputswire[31:0]R_Data_A;wire[31:0]R_Data_B;//InstantiatetheUnitUnderTest(UUT)Register_fileuut(.R_Addr_A(R_Addr_A),.R_Addr_B(R_Addr_B),.W_Addr(W_Addr),.Write_Reg(Write_Reg),.W_

8、Data(W_Data),.Clk(Clk),.Reset(Reset),.R_Data_A(R_Data_A),.R_Data_B(R_Data_B));initialbegin//Init

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。