quartusii使用入门

quartusii使用入门

ID:36316333

大小:2.49 MB

页数:73页

时间:2019-05-09

quartusii使用入门_第1页
quartusii使用入门_第2页
quartusii使用入门_第3页
quartusii使用入门_第4页
quartusii使用入门_第5页
资源描述:

《quartusii使用入门》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章QuartusII使用入门4.1QuartusII简介QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII可以在PC、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计

2、的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。4.1.1QuartusII的设计流程与MaxplusII相比,QuartusII不仅仅是支持器件类型的丰富和图形界面的改变。Altera在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLVi

3、ewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。4.1.2QuartusII的设计特点渐进式编译缩短了设计周期;SOPCBuilder系统级设计;MegaWizard插件管理器,迅速方便地集成多种知识产权(IP)内核;功耗分析工具,满足严格的功率要求;存储器编译器功能,轻松使用嵌入式存储器。1编译增强特性提高设计效率2更快集成IP3在设计周期的早期就对I/O引脚进行分配和确认4功率分析和优化5存储器编译器6支持CPLD、FPGA和基于HardCopy的ASIC7使用全新的命令行和脚本功能自动化设计流程4.1.

4、3QuartusII的图形用户界面Projectnavigator窗口编辑输入窗口Status窗口Message窗口TclConsole窗口4.2QuartusII使用方法4.2.1设计输入常用的设计输入方式:原理图输入文本输入第三方EDA工具输入原理图输入方式BlockDesignFile(.bdf文件)优点:几乎所有的EDA工具都会提供原理图输入方式,简单易用且非常直观;缺点:模块库不兼容导致可移植性不好。QuartusII的原理图输入方式实现了从原理图模块到HDL描述语言的双向自动转换功能,即可以实现原理图和HDL的混合输入,这在进行大型设计时是相当有意义的。文本输入方式VHDL

5、(.vhd文件),Verilog-HDL(.v文件),AHDL(.tdf文件)优点:利于模块的划分复用,可移植性好,通用性好,设计不因芯片的工艺和结果的不同而变化,更利于向ASIC移植。波形输入方式使用波形输入法时,只要绘制出激励波形和输出波形,EDA软件就能自动地根据响应关系进行设计。状态机输入方式(.smf文件)使用状态机输入法时,设计者只需要画出状态转移图,EDA软件就能生成相应的HDL代码或者原理图。第三方EDA工具输入EDIFNetlist(.edf文件):网表文件输入VQMNetlist(.vqm文件):网表文件输入网表记录的是设计的组成以及连接方式,由第三方综合工具产生或

6、者IP供应商提供。可以理解为是已经综合完成的设计,QuartusII会根据网表的描述进行布局布线将设计具体部署到确定Altera器件中。默认情况下不会重新编译。1.创建新工程工程:就是当前设计的描述、设置、数据以及输出的集合,QuartusII会将这些存储在不同类型的文件中并置于同一文件夹下。在开始设计之前,必须创建工程。(1)首先新建一个文件夹用于保存即将创建的工程(不要保存在桌面上)。(2)打开QuartusII软件,在主界面中执行File→NewProjectWizard…命令,按照向导的提示,完成设置工程文件夹、工程名称以及顶层实体名称。创建工程的步骤注意:顶层实体名称必须与设

7、计顶层文件的文件名一致,与VHDL设计中的顶层实体名一致。实体名称不能为中文、不能使用VHDL的关键字或者与QuartusII设计库中的模块名称相同。推荐:一般情况下,推荐工程文件夹、工程名称以及顶层实体名使用相同的名称。(3)选择目标芯片(4)进行EDA工具设置。(此步骤可直接跳过)(5)新建工程完毕。2.程序编辑(1)执行File→New…菜单命令打开新建对话框,新建一个VHDLFile,保存。(2)在新建的VHDL文档中进行设计输入。(3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。