FPGA编程设计电子表实验报告

FPGA编程设计电子表实验报告

ID:37365920

大小:1.74 MB

页数:75页

时间:2019-05-22

FPGA编程设计电子表实验报告_第1页
FPGA编程设计电子表实验报告_第2页
FPGA编程设计电子表实验报告_第3页
FPGA编程设计电子表实验报告_第4页
FPGA编程设计电子表实验报告_第5页
资源描述:

《FPGA编程设计电子表实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、电子表实验报告75一.系统目标【基本要求】:n计时:计时显示格式中有时/分/秒;n对时:可以通过按键,设定电子表的时间;n定时:可以通过按键,设定电子表的“响闹”时间,具有“闹”钟的功能;【发挥部分】:n年月日计时功能;n秒表功能;n倒计时功能;n整点报时;n采用多种方式设置初始值:u按键递增、键盘直接输入、拨盘输入;n按键发声。二.系统规范?【系统输入】n20M时钟信号输入—clk_20M:——用于生成时钟、数码管扫描控制时钟;n键盘列扫描输入—kin(3~0):——用于检测是否存在按键;n按键开关输入—sw1、sw2、sw7、sw12:——用于控制功能转换;

2、n拨盘输入—s1(3~0)、s2(3~0):——用于设置倒计时功能的初始值。?【系统输出】n键盘行扫描信号输出—scan(3~0):——对键盘进行行扫描;n数码管8位数据信号输出—lcd(7~0):——控制数码管数据显示;n数码管显示使能信号输出—en(5~0):——选择6位数码管中的某一个显示数据;n发光二极管控制信号输出—led(7~0)——星期显示、上/下午显示、倒计时结束标志显示75n音频输出端口—audio——闹钟铃声以及按键发声输出端口。?【系统功能】系统开机后,滚动显示当前的日期(年月日)和时间(时分秒),在此状态下,可通过sw1,sw2,sw7,

3、sw12四个按键开关进入各个功能模块,在各个功能模块中均可以通过长时间按键sw1回到滚动显示状态,在此电子表系统中主要实现4个功能:1、日期和时间功能模块:l日期显示l时间显示l日期和时间的调整设置2、秒表功能模块:l秒表归零l秒表计时l秒表计时停止3、倒计时功能模块l利用拨盘进行倒计时初始值设置l利用键盘扫描输入进行初始值设置l重置上一次的初始值l倒计时开始,至0后停止倒计时4、闹钟设置模块l取消闹钟l设置闹钟时分秒l选择闹铃方式其它具体功能:1、数码管显示方式:l滚动显示:滚动显示年月日、时分秒;l全亮显示:6位数码管显示全亮——亮度相同;l部分亮显示:在调

4、节时间的时分秒以及日期的年月日时,数码管相应部分的显示较其它部分亮度变暗。2、按键方式由此把实验箱下方的4个按键输入变为5位的按键信号(增加一位长时按键标志)l短时按键:按键脉冲宽度小于1秒;l长时按键:按键脉冲宽度大于等于1秒。3、闹铃以及按键发声方式l按键发声:由于实验箱部分按键接触存在问题,因此通过按键有效时发出鸣叫声来提醒操作者此时按键有效l闹铃1:普通滴滴声--鸣叫方式:当满足设置闹钟时,闹铃持续30秒,在此30秒钟,前10s发出缓慢的滴滴声,10s~20s时发出较快的滴滴声,20s~30s时发出急促的滴滴声,之后闹钟停止30s,之后再次想起,如此反复

5、3次,如果在此期间按任意键,则闹钟不再响铃。75l闹铃2:曲1《梁祝》l闹铃3:曲2《小兔子乖乖》l闹铃4:曲3《》 4、设置时钟等主要方式l短时按up/down键以1为单位递增/递减l长时按键up/down以10HZ速度快增/快减l用拨盘直接设值l键盘输入直接设值三.系统框图1.主程序系统总框图用各系统功能模块的状态转移图来描述系统框图:滚动显示日期/时间秒表倒计时闹钟设置sw1sw2sw7sw121长按sw12.时间/日期功能模块框图n按键控制状态转换:01111-短按sw1;10111-短按sw2;11011-短按sw7。75显示时间状态clock显示日期

6、状态date设置时状态ad_hour设置分状态ad_minute设置秒状态ad_second设置年状态ad_year设置月状态ad_month设置日状态ad_day时制转换(12小时制/24小时制)input0111101111110111011101111011110111110111011110111101111101111011110111101111011110111n正常走时及显示部分程序框图:秒计时1HZ时钟分计时进位信号时刻计时日计时月计时年计时进位信号进位信号进位信号进位信号星期计算Clock、ad_hour、ad_minutead_second

7、24位时间信号Date、ad_year、ad_month、ad_day24位日期信号7位LED信号指示星期秒分时日月年星期整数转换为BCD数整数转换为BCD数LED指示上午/下午闰年信号月n各计时子模块框图75判断当前状态正常计时设置时间/日期ad_×××其它Speed=’0’Speed=’1’Presstag=’1’短时按键增减长时按键快增快减直接用键盘设值3.秒表功能模块:4.倒计时功能模块:755.闹钟设置功能模块四.VHDL程序的层次化结构主程序clock.vhd——滚动显示模块rolling_display.vhd——七段数码管显示模块lcd_disp

8、lay.vhd——日期(

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。