基于FPGA的电梯控制器设计

基于FPGA的电梯控制器设计

ID:37704974

大小:1016.00 KB

页数:32页

时间:2019-05-29

   基于FPGA的电梯控制器设计 _第1页
   基于FPGA的电梯控制器设计 _第2页
   基于FPGA的电梯控制器设计 _第3页
   基于FPGA的电梯控制器设计 _第4页
   基于FPGA的电梯控制器设计 _第5页
资源描述:

《 基于FPGA的电梯控制器设计 》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、中国地质大学(武汉)远程与继续教育学院毕业设计(论文)远程与继续教育学院本科毕业论文(设计)基于FPGA的电梯控制器设计学习中心:内蒙古学习中心学号:090F27143002姓名:朱晓峰专业:机械设计制造及其自动化指导教师:曹雪林2016年9月25日中国地质大学(武汉)远程与继续教育学院毕业设计(论文)中国地质大学(武汉)远程与继续教育学院本科毕业论文(设计)指导教师指导意见表学生姓名:朱晓峰学号:090F27143002专业:机械设计制造及其自动化毕业设计(论文)题目:基于FPGA的电梯控制器设计指导教师意见:(请对论文的学术水平做出简要评述。包括选题意义;文献资料的掌握

2、;所用资料、实验结果和计算数据的可靠性;写作规范和逻辑性;文献引用的规范性等。还须明确指出论文中存在的问题和不足之处。)论文选题符合本专业方向,经多次修改内容及格式基本满足地大本科论文撰写要求。内容叙述条理较清晰、层次分明、逻辑性较强。语言表达较为流畅。文献、图的引入恰当、准确。对文章阐述起到支撑作用。不足之处:该控制器与其他控制方式的优越性未在文中阐述且无案例说明缺乏其实用性与创新性不足。指导教师结论:合格指导教师姓名曹雪林所在单位呼和浩特职业学院指导时间2016.7.10—10.4中国地质大学(武汉)远程与继续教育学院毕业设计(论文)中国地质大学(武汉)远程与继续教育学

3、院本科毕业设计(论文)评阅教师评阅意见表学生姓名:朱晓峰学号:090F27143002专业:机械设计制造及其自动化毕业设计(论文)题目:基于FPGA的电梯控制器设计评阅意见:(请对论文的学术水平做出简要评述。包括选题意义;文献资料的掌握;所用资料、实验结果和计算数据的可靠性;写作规范和逻辑性;文献引用的规范性等。还须明确指出论文中存在的问题和不足之处。)论文选题符合专业培养要求,具有一定的实用价值。论文介绍了基于FPGA的电梯控制器设计,并对设计的电梯控制器进行了仿真。论文参考资料详实,结构完整,论述逻辑结构合理,层次比较清晰,语言通顺,格式基本规范,字数符合要求。总的来说

4、,论文基本达到了本科毕业设计的要求。修改意见:(针对上面提出的问题和不足之处提出具体修改意见。评阅成绩合格,并可不用修改直接参加答辩的不必填此意见。)修改意见在文中批注,请按批注进行修改。毕业设计(论文)评阅成绩(百分制):83评阅结论:同意答辩评阅人姓名李昌平所在单位中国地质大学(武汉)评阅时间2016-10-17中国地质大学(武汉)远程与继续教育学院毕业设计(论文)论文原创性声明本人郑重声明:本人所呈交的本科毕业论文《基于FPGA的电梯控制器设计》,是本人在导师的指导下独立进行研究工作所取得的成果。论文中引用他人的文献、资料均已明确注出,论文中的结论和结果为本人独立完成

5、,不包含他人成果及使用过的材料。对论文的完成提供过帮助的有关人员已在文中说明并致以谢意。本人所呈交的本科毕业论文没有违反学术道德和学术规范,没有侵权行为,并愿意承担由此而产生的法律责任和法律后果。论文作者(签字):朱晓峰日期:  2016年9月20日中国地质大学(武汉)远程与继续教育学院毕业设计(论文)摘要本设计采用的正式FPGA来控制电梯的逻辑运行,具有编程灵活,性能可靠等优点,而且FPGA在去电后配置数据自动消失,用户可以控制加载进程,在现场修改器件的逻辑功能。在设计过程中我们首先把整个电梯设计根据功能分成若干个功能模块,然后理清各个模块的时序,一以便将各个功能模块综合

6、在一起,能够公用书籍总线,使其能正常工作不受干扰,有些程序在仿真的时候其时序是完全正确的,但是当程序下载到芯片上之后就会发现错误了。这主要是因为各个功能在实现时会有延时,但这在仿真时是显示不出来的。因此编程时要注意在选芯片之前,要先将计算出的数据信号先放到数据总线上。FPGA在实现电梯控制方面比较灵活,可以通过对程序的修改来达到控制多个楼层,本设计在电梯控制方面做的比较全面,比如设计了内外电梯的指示系统和当前电梯运行情况显示,以及超载报警等,能够满足一般的载客电梯的工作功能需要。关键词:1、电梯2、设计3、FPGA/CPLD4、控制器中国地质大学(武汉)远程与继续教育学院毕

7、业设计(论文)目录前言1一、FPGA概述2(一)FPGE的定义2(二)FPGE的特点2(三)FPGA工作原理3二、总体设计方案介绍4(一)利用FPGA/CPLD进行电梯控制器设计的一般流程4(二)电梯控制器的系统设计方案14(三)电梯控制器的系统设计方案25三、单元模块设计7(一)电梯控制系统的设计7(二)电梯控制器系统组成及模块设计介绍8(三)模块设计程序硬件分析和软件分析9(四)顶层文件原理图及其各部件仿真19四、系统功能23五、设计总结24致谢25参考文献26中国地质大学(武汉)远程与继续教育学院毕业设计(论

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。