Verilog HDL 硬件描述语言

Verilog HDL 硬件描述语言

ID:39450557

大小:1.11 MB

页数:172页

时间:2019-07-03

Verilog HDL 硬件描述语言_第1页
Verilog HDL 硬件描述语言_第2页
Verilog HDL 硬件描述语言_第3页
Verilog HDL 硬件描述语言_第4页
Verilog HDL 硬件描述语言_第5页
资源描述:

《Verilog HDL 硬件描述语言》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、第1章简介本章介绍VerilogHDL语言的发展历史和它的主要能力。1.1什么是VerilogHDL?VerilogHDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。VerilogHDL语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,VerilogHDL语言提供了

2、编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。VerilogHDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C编程语言中继承了多种操作符和结构。VerilogHDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,VerilogHDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。1.2历史VerilogH

3、DL语言最初是于1983年由GatewayDesignAutomation公司为其模拟器产品开发的硬件建模语言。那时它只是一种专用语言。由于他们的模拟、仿真器产品的广泛使用,VerilogHDL作为一种便于使用且实用的语言逐渐为众多设计者所接受。在一次努力增加语言普及性的活动中,VerilogHDL语言于1990年被推向公众领域。OpenVerilogInternational(OVI)是促进Verilog发展的国际性组织。1992年,OVI决定致力于推广VerilogOVI标准成为IEEE标准。这一努力最后获得成功,Verilog语

4、言于1995年成为IEEE标准,称为IEEEStd1364-1995。完整的标准在Verilog硬件描述语言参考手册中有详细描述。1.3主要能力下面列出的是Verilog硬件描述语言的主要能力:¥基本逻辑门,例如and、or和nand等都内置在语言中。¥用户定义原语(UDP)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语。¥开关级基本结构模型,例如pmos和nmos等也被内置在语言中。GatewayDesignAutomation公司后来被CadenceDesignSystems公司收购。2VerilogHDL

5、硬件描述语言¥提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。¥可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模。¥VerilogHDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。¥能够描述层次设计,可使用模块实例结构描述任何层次。¥设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。¥VerilogHDL不再是某

6、些公司的专有语言而是IEEE标准。¥人和机器都可阅读Verilog语言,因此它可作为EDA的工具和设计者之间的交互语言。¥VerilogHDL语言的描述能力能够通过使用编程语言接口(PLI)机制进一步扩展。PLI是允许外部函数访问Verilog模块内信息、允许设计者与模拟器交互的例程集合。¥设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级,包括进程和队列级。¥能够使用内置开关级原语在开关级对设计完整建模。¥同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。¥VerilogHDL能够监控模

7、拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。¥在行为级描述中,VerilogHDL不仅能够在RTL级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述。¥能够使用门和模块实例化语句在结构级进行结构描述。¥图1-1显示了VerilogHDL的混合方式建模能力,即在一个设计中每个模块均可开关算法以在不同设计层次上建模。¥VerilogHDL还具有内置逻辑函数,例如&(按位与)和

8、(按位或)。门开关¥对高级编程语言结构,例如条件语句、情况语句和循

9、环语句,语言中都可以使RTL门用。¥可以显式地对并发和定时进行建模。图1-1混合设计层次建模¥提供强有力的文件读写能力。¥语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果;例如,事件队列上的事件顺序

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。