自动售邮票机的设计

自动售邮票机的设计

ID:40501770

大小:247.95 KB

页数:20页

时间:2019-08-03

自动售邮票机的设计_第1页
自动售邮票机的设计_第2页
自动售邮票机的设计_第3页
自动售邮票机的设计_第4页
自动售邮票机的设计_第5页
资源描述:

《自动售邮票机的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、辽宁工程技术大学EDA课程设计自动售邮票机的设计一、摘要:通过参考文献资料仔细分析自动售货机的原理本文详细的介绍了(Very High Speed Integrated Circuit Hardware Description Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,在Quartus II软件平台上进行编译和电路仿真,最后生成的目标文件下载到实验台内的F

2、PGA芯片以实现该系统,并在实验台上对设计进行了验证。最终完成的自动售货机系统具有投币处理、商品选择、购货撤销、异常退币、计算存货等主要功能,整个系统的开发体现了在Quartus II软件平台上用VHDL设计数字控制系统的实用性。二、综述电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋进步和完善,推动力数字系统设计的迅猛发展。电子设计自动化(EDA)工具给电子设计带来了巨大的变革,尤其是硬件描述语言的出现和发展,解决了传统用电路原理设计系统工程时的诸多不便,成为电子电路设计人员的最得力助手。学习VHDL已日益成为我国高等学校学生和工程技术人员的迫切需要。本设计是利用VHDL,用

3、模块合成的方式来完成的。根据自动售票机的功能以及顾客的各种买卖行为,将其分为几大模块来处理。目前最通用的硬件描述语言有VHDL与Verilog两种。1982年美国国防部的一个分支专案要求所有的数字电路必须用VHDL语言设计。1983年的IBH及TI等公司在此专案规定下,开始开发VHDL。随后IEEE1076号标准。后来将一种可配合集成工具的VHDL程序包,特别命名为IEEE1076.3,并成为1076号IEEE标准的一部分。最近,新标准包IEEE1076.4被开发出来,成为建立ASIC及FPGA的模型函数库。VHDL的设计层面可以划分为系统层、算法层、寄存器传输层、逻辑层以及电路层。另一支

4、HDL语言的主流是Verilog20辽宁工程技术大学EDA课程设计,其建模能力可以涵盖所有范围。VHDL语言可描述一个数字电路的输入、输出以及相互间的行为与功能。而其硬件关联性的语法与形式虽类似于一般程序语言,但是涵盖许多与硬件关联的语法构造。其特有的层次性一一由上而下的结构式语法结构适合大型设计项目的分包下去,各自独立运行。从抽象的层次而言,VHDL的语句分成一下4个大类。1行为式采用语言逻辑方式直接描述硬件电路的工作,表示一个设计的功能或算法,描述IC内部电路行为。在此结构定义中可以同时包含并行描述与顺序语句。2数据流从数据输入与输出的观点,大部分的并行语句都用于数据转换工作。3结构式

5、允许设计者以树状形式调用内置电路组件。通常以引脚图方式调用并连接。从硬件的角度说,调用组件就像在组合与连接电路元器件一样。4寄存器传输式VHDL是一种类型化的语言,一种数据类型的数据内容不能指定给其他类型的数据,而且不同数据类型的数据需经过转换才能相互运算。每一种电路的VHDL码都是实体与结构的成对组合,先用实体来定义一个IC电路引脚规格与基本参数,然后在用结构定义IC内部电路的功能运做,即构成一个完整的电路模块。三、方案设计与分析3.1自动售票机的功能概述有一个自动售邮票机,出售面值为6角和8角的邮票。售票机有1角,5角和1元三个硬币投放口,售票机每次只能售出一枚邮票。当所投硬币达到或者

6、超过购买者所选面值时,售出一枚邮票,并找回零钱,售票机回到初始状态;当所投硬币不足邮票面值时,可以通过一个复位键退回所投硬币,售票机回到初始状态。3.2设计思路与分析20辽宁工程技术大学EDA课程设计3.21entity定义此外,我们定义了系统的输入/输出端口信号,考虑顾客只有4种操作行为,即投币、选择、确定与取消,所以定义以下系统输入信号。Reset:系统内部设置给其他顾客重新操作的复位信号;Clk:由外接信号发射器提供1024b/s的系统时钟信号;Okbuy:购买确认的按键信号;Cancle:购买取消的按键信号;Coin1:投入1角硬币的动作按键Coin5:投入5角硬币的动作按键;Co

7、in10:投入1元硬币的动作按键;Select6:选择6角邮票的按键信号;Select8:选择8角邮票的按键信号;另外,系统必须响应顾客的各种操作行为,以利于顾客的选择判断,因此定义下列系统输出信号。Led6ok:灯亮显示还有6角邮票;Led8ok:灯亮显示还有8角邮票;Led6sel:灯亮显示6角邮票选择按键被按;与Led8sel只有一个灯亮,后按着优先;Led8sel:灯亮显示8角邮票选择按键被按;与Led6sel只

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。