三层电梯控制电路

三层电梯控制电路

ID:41747377

大小:360.09 KB

页数:10页

时间:2019-08-31

三层电梯控制电路_第1页
三层电梯控制电路_第2页
三层电梯控制电路_第3页
三层电梯控制电路_第4页
三层电梯控制电路_第5页
资源描述:

《三层电梯控制电路》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、三层电梯控制电路设计一.设计要求1.每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。2.设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。3.电梯每秒升(降)一层楼。4.电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。5.能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。6.电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的

2、上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。7.屯梯初始状态为一层开门状态。二.设计目的电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。三.控制器的设计方案.控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主

3、控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。图1.电梯控制器原理图一.三层电梯控制器的结构体设计首先说明一下状态。状态机设置了10个状态,分别是电梯停留在1层(stoponl)>JF

4、'l(dooropen)>关fl(doorclose)开门等待第1秒(doorwaitl)^开门等待第2秒(doorwait2)>开

5、门等待第3秒(doorwait3)>开门等待第4秒(doorwait4)、上升(up)>下降(down)和停止(stop)o在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程屮信号灯的熄灭又是由状态机进程中传出的clearup和cleardn信号来控制。在状态机进程中,在

6、电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对信号的判断,决定电梯是上升、下降还是停止。本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼层数,

7、红色指示灯灭。五.vhdl源程序libraryiccc;useiccc.std_logic_1164.all;useiccc.std_logic_arith.all;useiccc.std_logic_unsigned.dll;entityelevatorisport(elk:instd_logic;--ClockSignailkl,k2u,k2d,k3:instd_logic;一-Pushbuttondl,d2u,d2d,d3:outstd_logic;一一Ledofeveryfloordoorl,door

8、2,door3:bufferstd_logic;一一doorledrO,rl,r2,r3,r4,r5,r6,r7:outstd_logic;—7segmentdriversa,sb,sc•■outstd_logic);--DisplaySelectendelevator;architccturcbehaveofelevatorissignailstatcl,steitc3:std_logic;signalstate2u,state2d:std_logic;signaldoorflag:std_logic;si

9、gnaludflag,runflag:std_logic;--upanddownflag,runflagsignaldcount:std_logic_vector(2downto0);--displaycountersignaldisplay:std_logic_vector(7downto0);signallocation:std_logic_vector(1downto0);signalwcou

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。