基于UVM的Flash存储器功能验证

基于UVM的Flash存储器功能验证

ID:42869768

大小:4.22 MB

页数:118页

时间:2019-09-20

基于UVM的Flash存储器功能验证_第1页
基于UVM的Flash存储器功能验证_第2页
基于UVM的Flash存储器功能验证_第3页
基于UVM的Flash存储器功能验证_第4页
基于UVM的Flash存储器功能验证_第5页
资源描述:

《基于UVM的Flash存储器功能验证》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、财{謂我衫團硕士学位论文_修画9基于UVM的Flash存储器功能验证作者姓名彭楠学校导师姓名、职称李跃进教授德2企业导师姓名、职称李国高工g^申请学位类别工程硕士学校代码10701学号1511122826分类号TN4密级公开西安电子科技大学硕士学位论文基于UVM的Flash存储器功能验证作者姓名:彭楠领域:软件工程学位类别:工程硕士学校导师姓名、职称:李跃进教授企业导师姓名、职称:李国高工学院:微电子学院提交日期:2018年6月TheFunctionVerificationofFL

2、ASHBasedonUVMAthesissubmittedtoXIDIANUNIVERSITYinpartialfulfillmentoftherequirementsforthedegreeofMasterinSoftwareEngineeringByPengNanSupervisor:LiYuejinTitle:ProfessorSupervisor:LiGuoTitle:SeniorEngineerJune2018西安电子科技大学学位论文独创性(或创新性)声明秉承学校严谨的学风和优良的科学道德,本人

3、声明所呈交的论文是我个人在导师指导下进行的研究工作及取得的研宄成果。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中不包含其他人己经发表或撰写过的研宄成果;也不包含为获得西安电子科技大学或其它教育机构的学位或证书而使用过的材料一。与我同工作的同事对本研宄所做的任何贡献均己在论文中作了明确的说明并表示了谢意。学位论文若有不实之处一,本人承担切法律责任。本人签名:jj棒日期:西安电子科技大学关于论文使用授权的说明本人完全了解西安电子科技大学有关保留和使用学位论文

4、的规定,即:研宄生在校攻读学位期间论文工作的知识产权属于西安电子科技大学。学校有权保留送交论文的复印件,,允许查阅、借阅论文学校可以公布论文的全部或部分内容;允许采用影印,结、缩印或其它复制手段保存论文。同时本人保证合学位论文研宄成果完成的论文、发明专利等成果,署名单位为西安电子科技大学。保密的学位论文在年解密后适用本授权书。_太,本人签名:ilMi导师签名:U日期:細8日期:摘要摘要近年来,随着集成电路产业的迅猛发展,集成电路的运算能力得到大幅度提升,集成电路的

5、规模和复杂程度也在不断提高。验证工作,作为芯片设计中重要的一环,贯穿于整个芯片设计流程,已经逐步成为制约集成电路发展的瓶颈。高效的验证不但能够确保设计的功能正常,还可以提高整个设计的效率,缩减芯片的上市时间,创收效益。为了构建一种能够被广大厂商和验证人员接受的、更快更好的验证方法学,提高验证的自动化能力,提高验证平台的可重用性、灵活性、易交互性,对日益繁杂的DUT进行全面的功能验证。本文从提升验证环境效率、可靠性和可读性的角度出发,结合实习公司自身的项目,使用主流的验证方法学,自主搭建完成验证平台完成对DUT的验

6、证,并根据仿真的结果对验证环境进行了优化。本文研究的主要内容是基于UVM方法学的功能验证。论文首先分析了关于验证方法学的研究背景、研究目的与意义以及国内外的研究现状,说明了验证的重要性。接着总结了当前主流的功能验证技术,提到了一些当前实用的验证方法,包括使用受约束的随机测试用例代替定向测试用例;使用基于功能覆盖率的验证方法,对测试用例进行度量;使用基于SystemVerilog的UVM方法学,提升验证平台的质量和效率;使用断言验证等。本文在完成测试平台搭建时,严格按照项目处理的一般流程进行。先是对DUT的功能行为

7、进行总结,并根据提供的DUT特性列表,建立验证计划。然后根据验证计划,完成验证平台主要架构的搭建和自检,并结合自检的仿真结果对验证平台进行debug和优化。在生成测试用例时,秉承着将DUT简单功能与复杂功能分割处理的原则,先完成简单的功能测试行为,再组合完成复杂的测试行为。其次本文还使用了少量断言完成了对DUT模拟电路部分的功能测试,提高了整个验证平台完备性和可靠性。最后,论文还对测试用例的覆盖率信息进行了收集,通过观测覆盖率从而完成了对测试用例的度量,并以覆盖率信息作为反馈对测试用例进行了调试,使测试用量尽可能

8、的完备。同时在随机测试不能完全覆盖时,增加额外的定向测试用例,使功能覆盖率进一步得到了完善,获得了100%的功能覆盖率,完成验证工作的同时保证了它的高质量。关键词:验证,SystemVerilog,UVM,FLASHIABSTRACTABSTRACTInrecentyears,withthedevelopmentoftheIC(IntegratedCircuit),IC’

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。