EDA技术的概念第10章ppt课件.ppt

EDA技术的概念第10章ppt课件.ppt

ID:58885322

大小:600.00 KB

页数:96页

时间:2020-09-30

EDA技术的概念第10章ppt课件.ppt_第1页
EDA技术的概念第10章ppt课件.ppt_第2页
EDA技术的概念第10章ppt课件.ppt_第3页
EDA技术的概念第10章ppt课件.ppt_第4页
EDA技术的概念第10章ppt课件.ppt_第5页
资源描述:

《EDA技术的概念第10章ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术实用教程第10章VHDL基本语句10.1顺序语句KX康芯科技10.1.1赋值语句信号赋值语句变量赋值语句10.1.2IF语句10.1.3CASE语句单个普通数值,如6。数值选择范围,如(2TO4)。并列数值,如35。混合方式,以上三种方式的混合。KX康芯科技【例10-1】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux41ISPORT(s4,s3,s2,s1:INSTD_LOGIC;z4,z3,z2,z1:OUTSTD_LOGIC);ENDmux41;

2、ARCHITECTUREactivOFmux41ISSIGNALsel:INTEGERRANGE0TO15;BEGINPROCESS(sel,s4,s3,s2,s1)BEGINsel<=0;--输入初始值IF(s1='1')THENsel<=sel+1;ELSIF(s2='1')THENsel<=sel+2;ELSIF(s3='1')THENsel<=sel+4;ELSIF(s4='1')THENsel<=sel+8;ELSENULL;--注意,这里使用了空操作语句ENDIF;z1<='0';z2<='0';z

3、3<='0';z4<='0';--输入初始值CASEselISWHEN0=>z1<='1';--当sel=0时选中WHEN13=>z2<='1';--当sel为1或3时选中WHEN4To72=>z3<='1';--当sel为2、4、5、6或7时选中WHENOTHERS=>z4<='1';--当sel为8~15中任一值时选中ENDCASE;ENDPROCESS;ENDactiv;10.1顺序语句KX康芯科技10.1.3CASE语句【例10-2】SIGNALvalue:INTEGERRANGE0TO15;SIG

4、NALout1:STD_LOGIC;...CASEvalueIS--缺少以WHEN引导的条件句ENDCASE;...CASEvalueISWHEN0=>out1<='1';--value2~15的值未包括进去WHEN1=>out1<='0';ENDCASE...CASEvalueISWHEN0TO10=>out1<='1';--选择值中5~10的值有重叠WHEN5TO15=>out1<='0';ENDCASE;KX康芯科技【例10-3】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL

5、;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYaluISPORT(a,b:INSTD_LOGIC_VECTOR(7DOWNTO0);opcode:INSTD_LOGIC_VECTOR(1DOWNTO0);result:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDalu;ARCHITECTUREbehaveOFaluISCONSTANTplus:STD_LOGIC_VECTOR(1DOWNTO0):=b"00";CONSTANTminus:STD_LOGIC_

6、VECTOR(1DOWNTO0):=b"01";CONSTANTequal:STD_LOGIC_VECTOR(1DOWNTO0):=b"10";CONSTANTnot_equal:STD_LOGIC_VECTOR(1DOWNTO0):=b"11";BEGINPROCESS(opcode,a,b)BEGINCASEopcodeISWHENplus=>result<=a+b;--a、b相加WHENminus=>result<=a-b;--a、b相减WHENequal=>--a、b相等IF(a=b)THENresul

7、t<=x"01";ELSEresult<=x"00";ENDIF;WHENnot_equal=>--a、b不相等IF(a/=b)THENresult<=x"01";ELSEresult<=x"00";ENDIF;ENDCASE;ENDPROCESS;ENDbehave;10.1顺序语句KX康芯科技10.1.4LOOP语句(1)单个LOOP语句,其语法格式如下:[LOOP标号:]LOOP顺序语句ENDLOOP[LOOP标号];...L2:LOOPa:=a+1;EXITL2WHENa>10;--当a大于10时跳出循

8、环ENDLOOPL2;...10.1顺序语句KX康芯科技10.1.4LOOP语句(2)FOR_LOOP语句,语法格式如下:[LOOP标号:]FOR循环变量,IN循环次数范围LOOP顺序语句ENDLOOP[LOOP标号];10.1顺序语句KX康芯科技【例10-4】LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYp_checkISPORT(a:IN

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。