CPLD在基于PCI总线功率模块设计中的应用.doc

CPLD在基于PCI总线功率模块设计中的应用.doc

ID:59007281

大小:208.50 KB

页数:5页

时间:2020-09-15

CPLD在基于PCI总线功率模块设计中的应用.doc_第1页
CPLD在基于PCI总线功率模块设计中的应用.doc_第2页
CPLD在基于PCI总线功率模块设计中的应用.doc_第3页
CPLD在基于PCI总线功率模块设计中的应用.doc_第4页
CPLD在基于PCI总线功率模块设计中的应用.doc_第5页
资源描述:

《CPLD在基于PCI总线功率模块设计中的应用.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、CPLD在基于PCI总线功率模块设计中的应用在机电一体化控制系统中,直流电机常用于控制系统的执行器,是电机控制的重要部分,能按照处理器指令驱动电机运转实现电机控制。目前直流电机PWM(pulsewidthmodulation,脉宽调制)驱动控制方式应用最为广泛。  2直流电动机的PWM控制原理  直流电动机具有良好的线性调速特性,控制功能简单,效率高及动态特性优异,因此是大多数调速控制电动机的最佳选择。一般直流电机的控制信号包括脉宽调制(PWM)、方向(Dir)和刹车(Brake)信号。而PWM脉宽调制可视为速度信号,通过改变PWM信号的占空比来控制电动机电压,方向信号控制电动机转

2、向,刹车信号控制电动机启停。  由图1看出,PWM调速时,改变占空比有三种方法。  (1)定宽调频法:保持t1不变,只改变t2,这样使周期T(或频率)也随之改变。  (2)调频调宽法:保持t1不变,改变t2,这样使周期T(或频率)也随之改变。  (3)定频调宽法:周期T(或频率)保持不变,同时改变t1和t2。  在CPLD设计中,输入不同的周期和占空比可选择相应改变占空比方法,从而实现PWM调速。    3系统设计  一些新型单片机具有PWM功能,能按要求自动输出PWM信号,但笔者研制的基于PCI总线的机电一体化产品控制系统,MCU与电机驱动器通过PCI总线实现通信,其系统结构图如

3、图2所示,图3为MCU模块与功率模块连接图。   MCU与其他模块间的通信都是通过PCI总线实现的,而电机工作期间功率模块上的电机驱动器需要连续不断的PWM信号。如果使用MCU发送PWM信号则需长时间占用PCI总线,导致在驱动电机期间MCU无法与其他模块通信。因此,采用CPLD实现数字控制PWM信号。  3.1CPLD功能设计  系统设计时考虑到实用和成本等因素,选用Altera公司FLEX6000系列CPLDEPF6010ATC100-1。EPF6010ATC100-1是专为大容量设计的一种低成本可编程交错式门阵列,共有16000个可用门,1320个逻辑单元,117个I/O引脚,

4、每个I/O引脚都有独立的三态输出使能控制。该器件采用OPEFLEX结构,采用最小的封装尺寸并具有高性能和高布线率。器件的基本组成是逻辑单元(LE)。每个逻辑单元由一个4输入查找表、一个寄存器以及作为进位链和级连链功能的专用通道。每10个逻辑单元组成一个逻辑阵列块(LAB),并采用SRAM构造,可通过外部EEPROM或控制器实现在线配置,便于设计者在设计初期以及设计测试过程中对设计灵活修改,同时也能够通过在线重新配置改变其内部功能。图4为产生PWM信号的CPLD电路连接图。    CPLD功能设计思路:对电机控制时,MCU将一个16位数据写入CPLD器件的寄存器,CPLD则按照不同的

5、数据产生相应的PWM波形。16位数据的分配如图5所示。  EPF6010ATC100-1的输入信号共有16个数据信号(LD[15:0])和4个控制信号(CLK、BLAST、CS和RESET),16个数据信号用于接收:MCU模块发送的16位数据字。4个控制信号中,CLK为CPLD工作的时钟信号,频率可高达40MHz,用于计数并产生准确的PWM占空比:BLAST为状态信号,低电平时表示处于数据期,此时将数据读入寄存器,等待BLAST上升沿,即该次数据访问结束后发出PWM信号:CS信号用于片选CPLD器件:RESET则用于对CPLD器件复位,复位后,6个输出引脚均为高阻态。  本系统设计

6、选用的电动机PWM控制系统是双极性可逆系统,双极性驱动是指一个PWM周期中,作用于电枢两端的脉冲电压正负交替:可逆系统是指电动机可以正反两个方向旋转,因此采用两个引脚产生PWM信号来控制电机的正反转。P1.0和P1.1、P2.0和P2.1、P3.0和P3.1设置为三组PWM信号通道,当P1.0产生PWM波形时P1.1为低电平,此时电机正转,反之电机反转,并通过LD15控制电机运转方向。为了简化程序设计和以节省成本,根据实际需要,设计该三组通道不同时产生PWM信号,同一时刻只产生一组PWM信号,通过LD[14:13]选择具体通道产生的PWM信号;LD[12:6]用于给定PWM信号的占

7、空比;LD[5:0]用于给定PWM信号频率,范围为1kHz~63kHz,能满足驱动电机需要。如果需要改变PWM信号的占空比、频率、方向或更换PWM通道,只需再发送一个16位数据即可足要求。  3.2CPLD开发工具  Altera公司开发的QuartusII软件为可编程片上系统(SOPC)设计提供了一个工具齐全、功能强大的设计环境。QuartusII软件可完成设计输入、综合、布局布线、时序分析、仿真和编程等功能。根据需要选用CPLD器件系列,采用VeilogHDL语言

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。