陈振韦EDA实验报告.doc

陈振韦EDA实验报告.doc

ID:59257529

大小:2.95 MB

页数:35页

时间:2020-09-08

陈振韦EDA实验报告.doc_第1页
陈振韦EDA实验报告.doc_第2页
陈振韦EDA实验报告.doc_第3页
陈振韦EDA实验报告.doc_第4页
陈振韦EDA实验报告.doc_第5页
资源描述:

《陈振韦EDA实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、福建农林大学金山学院信息工程类实验报告课程名称:EDA技术实用课程姓名:陈振韦系:信息与机电工程系专业:电子信息工程年级:12学号:指导教师:孙奇燕职称:讲师2015年6月30日实验项目列表序号实验项目名称成绩指导教师1实验一 QuartusII9.0软件的使用孙奇燕2实验二用文本输入法设计2选1多路选择器孙奇燕3实验三用文本输入法设计7段数码显示译码器孙奇燕4实验四用原理图输入法设计8位全加器孙奇燕5实验五乐曲硬件演奏电路的设计孙奇燕67891011121314151617181920福建农林大学计算机与信息学院信息工程类实验报告系:信息与机电工程系专业:

2、电子信息工程年级:2012级姓名:陈振韦学号:实验课程: EDA技术实验室号:田405实验设备号:实验时间: 指导教师签字:成绩:实验一QuartusII9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉QuartusII9.0软件的使用,学会利用QuartusII9.0软件来完成整个EDA开发的流程。2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。QuartusII是Altera提

3、供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:QuartusII9.0软件4.操作方法与实验步骤利用QuartusII9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。利用QuartusII9.0软件实现引脚锁定和编译文件下载。利用QuartusII9.0软件实现原理图输入设

4、计文件的编辑和产生相应的原理图符号元件。5.实验内容及实验数据记录1)新建工程(文本输入法)2)新建工程(原理图输入法)3)编译工程选择如上菜单栏中的选项或者可通过Processing->StartComplacation进行编译工程。4)时序仿真选择如上菜单栏中的选项或者可通过Processing->StartComplacation进行编译工程。5)下载程序Tools-〉Programmer6.实验数据处理与分析1)任何一项设计都是一项工程(实验三中半加器、一位全加器以及8位全加器的设计都应该新建工程,不可在同一个工程中进行设计),不同的设计项目最好放在

5、不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。2)新建一个文件夹时应特别注意文件夹名不能用中文,最好也不要用数字。2)编译工程结果将提示是否有错误或是警告,错误需要修改,警告则根据具体情况进行修改。(常见警告一个是未定义的引脚模式-改为输入高电阻模式,另一个则是引脚未锁定,可在下载程序前再进行锁定)3)时序仿真时候步骤:A、File-〉newfile->vectorwaveformfile:新建波形文件B、在波形文件下,右键单击选择Insertnodeorbus..进行节点的添加C、Assignments-〉Setting-〉Simulator

6、Setting:选择功能仿真functionalD、电击仿真按钮进行仿真分析。时序仿真时候注意点:l设置仿真时间区域。对于时序仿真来说,将仿真时间轴设置在一个合理的短时间区域上十分重要。(由后续试验验证了此结论)通常设置的时间范围在数十微妙之间:选择Edit->EndTimel文件后缀名:.vwf4)下载程序前应连接好下载线,开启电源,确保连线可用(我的电脑-)管理-〉设备管理器-〉串行通信端口);其次在打开窗口中单击HardwareSetup,选择对应项;最后单击START进行下载,右上角有相关的下载进度提示,当提示为100%时候表示下载成功。7.质疑、建

7、议、问题讨论(1)由于第一次使用该软件,所以在使用上有一些不清楚的地方,而且该实验程序使用的是英文作为界面,一些按键容易混淆导致一些不该有的错误(2)实验过程中连图应注意只有代表两根导线相连的情况下才会出现圆点,其他地方出现则说明导线连接错误。福建农林大学计算机与信息学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级:2012级姓名:陈振韦学号:实验课程: EDA技术实验室号:田405实验设备号:实验时间: 指导教师签字:成绩:实验二用文本输入法设计2选1多路选择器1.实验目的和要求本实验为综合性实验,综合了简单组合电路逻辑、QuartusII

8、的使用方法、多层次电路设计、仿真和硬件测试等内容。其

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。