基于fpga的数字时钟设计论文

基于fpga的数字时钟设计论文

ID:6194259

大小:1.14 MB

页数:40页

时间:2018-01-06

基于fpga的数字时钟设计论文_第1页
基于fpga的数字时钟设计论文_第2页
基于fpga的数字时钟设计论文_第3页
基于fpga的数字时钟设计论文_第4页
基于fpga的数字时钟设计论文_第5页
资源描述:

《基于fpga的数字时钟设计论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的数字时钟设计毕业论文目录1绪论……………………………………………………………………………11.1课题研究背景………………………………………………………………11.2国内外发展状况……………………………………………………………21.3论文研究主要内容…………………………………………………………31.4论文特色……………………………………………………………………42方案介绍………………………………………………………………………53脉冲电路实现…………………………………………………………………63.1五万分频器电

2、路实现………………………………………………………63.2一千分频器电路实现………………………………………………………74计数电路实现…………………………………………………………………94.1秒计数器电路的实现………………………………………………………94.2分计数器电路的实现………………………………………………………104.3时计数器电路的实现………………………………………………………125扫描显示电路实现……………………………………………………………145.1六位动态扫描显示电路的工作原理………………………………………

3、145.2动态扫描显示电路所需基本模块的实现…………………………………155.2.1六进制计数器电路的实现………………………………………………155.2.23-8译码器电路的实现…………………………………………………165.2.324选4数据选择器和数码管扫描控制模块的电路实现………………185.2.4七段显示译码器的电路实现……………………………………………205.3动态扫描显示电路的具体实现……………………………………………216数字钟电路的实现……………………………………………………………237总结……………………

4、………………………………………………………258附录……………………………………………………………………………269参考文献………………………………………………………………………36210致谢……………………………………………………………………………3821绪论1.1课题研究背景当今社会是数字化社会,是数字电路广泛集成的社会。数字电路本身在不断地进行更新换代。随着电子技术的发展以及应用的普及,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计ASIC(专用集成电路)芯片,而且希望ASIC的

5、设计周期尽可能短,因而出现了现场可编程逻辑器件,其中应用最广泛的当属复杂可编程逻辑器件(CPLD)和现场可编程门列阵(FPGA)[1]。现场可编程门列阵FPGA器件是Xilinx公司1985年首先推出的。它是一种新型高密度PLD,采用CMOS-SRAM工艺制作[2]。目前以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功

6、能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器或者其他更加完整的记忆块。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误。在一些技术更新比较快的行业,FPGA几乎是电子系统中的必要部件,因为在大批量供货前,必须迅速抢占市场,这时FPGA方便灵活的优势就显得很重要。此外,FPGA也是电子设计领域最具有活力和发展前途的一项技术,他的影响丝毫不亚于20世纪70年代单片机

7、的发明和使用。可以毫不夸张地讲,FPGA能完成任何数字器件的功能,例如简单的74电路和高性能的CPU都可以用它来实现。随着EDA软件和硬件描述语言(HDL)的进步FPGA的开发周期越来越短,产品的功能越来越强[3]。本论文是基于FPGA的数字时钟的设计。数字时钟是一种用数字电路技术实现时、分、秒计时的装置。更确切的说,数字钟实际上是一对标准1HZ信号进行计数的电路[4]。它与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字时钟的设计是FPGA应用领域之一,本论文的最终落脚点

8、是通过FPGA借助VHDL以及相关软件完成数字时钟的设计。VHDL是一种硬件描述语言,它可以对电子电路和系统的行为进行描述[5]。基于FPGA的数字钟的设计采用硬件描述语言VHDL语言作为设计输入,可以在数字钟设计的各个阶段、各个层次进行计算机模拟仿真,保证设计过程的正确性,从而大大降低设计成本,缩短设计周期,不承担

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。